Proceedings of the Fifth International Workshop on Network on Chip Architectures 2012
DOI: 10.1145/2401716.2401729
|View full text |Cite
|
Sign up to set email alerts
|

Variability-tolerant NoC link design

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

1
11
0

Year Published

2013
2013
2017
2017

Publication Types

Select...
2
2

Relationship

1
3

Authors

Journals

citations
Cited by 4 publications
(12 citation statements)
references
References 3 publications
1
11
0
Order By: Relevance
“…Their model provided the failure rates of micro architectural blocks as a function of frequency and the amount of variations. While Sarangi et al considered only systematic and random variations resulting from front-end fabrication processes to get the normalized gate delay, we consider systematic and random variations resulting from both the front-end and the back-end fabrication processes to get the total interconnect delay variations [15].…”
Section: Related Workmentioning
confidence: 99%
See 4 more Smart Citations
“…Their model provided the failure rates of micro architectural blocks as a function of frequency and the amount of variations. While Sarangi et al considered only systematic and random variations resulting from front-end fabrication processes to get the normalized gate delay, we consider systematic and random variations resulting from both the front-end and the back-end fabrication processes to get the total interconnect delay variations [15].…”
Section: Related Workmentioning
confidence: 99%
“…They showed that process variations in NoC links cause links to have different delays. Our work in [15] uses link delay variations to calculate the optimum number of repeaters that tolerates process variations effects.…”
Section: Related Workmentioning
confidence: 99%
See 3 more Smart Citations