2013 Saudi International Electronics, Communications and Photonics Conference 2013
DOI: 10.1109/siecpc.2013.6550789
|View full text |Cite
|
Sign up to set email alerts
|

Variability-aware NoC geometry and topology scaling

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
4
0

Year Published

2014
2014
2017
2017

Publication Types

Select...
3

Relationship

1
2

Authors

Journals

citations
Cited by 3 publications
(4 citation statements)
references
References 6 publications
0
4
0
Order By: Relevance
“…Systematic variations in device and interconnect geometries will cause systematic deviation components of delay and current, as shown in Fig. 1 and detailed in our previous work [15][16][17].…”
Section: Systematic (Spatial) Process Variationsmentioning
confidence: 86%
See 3 more Smart Citations
“…Systematic variations in device and interconnect geometries will cause systematic deviation components of delay and current, as shown in Fig. 1 and detailed in our previous work [15][16][17].…”
Section: Systematic (Spatial) Process Variationsmentioning
confidence: 86%
“…The NoC link geometry variations are used to calculate the interconnect delay/current variations in a statistical approach. In our previous work [15][16][17], we proposed a statistical NoC link design methodology for both CM and VM NoC links. We extended our previous work to calculate NoC link failure probability due to delay variations of VM or current variations of CM interconnect.…”
Section: Discussionmentioning
confidence: 99%
See 2 more Smart Citations