Proceedings of the 2009 International Conference on Computer-Aided Design 2009
DOI: 10.1145/1687399.1687500
|View full text |Cite
|
Sign up to set email alerts
|

Value assignment of Adjustable Delay Buffers for clock skew minimization in multi-voltage mode designs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
24
0

Year Published

2011
2011
2016
2016

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 29 publications
(24 citation statements)
references
References 8 publications
0
24
0
Order By: Relevance
“…Lin, Lin, and Ho [15] proposed an efficient algorithm of two-stage approach which performs a top-down ADB insertion followed by a bottom-up ADB elimination. Even though the approach reduces the run time over that in [13,14], it still does not guarantee an optimality. Lim and Kim [16] proposed a linear-time algorithm for the ADB insertion problem where they solved the problem optimally for each power mode.…”
Section: Introductionmentioning
confidence: 98%
See 2 more Smart Citations
“…Lin, Lin, and Ho [15] proposed an efficient algorithm of two-stage approach which performs a top-down ADB insertion followed by a bottom-up ADB elimination. Even though the approach reduces the run time over that in [13,14], it still does not guarantee an optimality. Lim and Kim [16] proposed a linear-time algorithm for the ADB insertion problem where they solved the problem optimally for each power mode.…”
Section: Introductionmentioning
confidence: 98%
“…Su et al [13,14] proposed a linear-time optimal algorithm for the delay assignment problem and exploits the algorithm to solve the rest of two subproblems of the ADB insertion problem heuristically in a greedy manner. Lin, Lin, and Ho [15] proposed an efficient algorithm of two-stage approach which performs a top-down ADB insertion followed by a bottom-up ADB elimination.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Because the variation of clock skews in different power modes can be very large, insertion of adjustable delay buffers (ADBs) in the post-silicon process is a widely used methodology to reduce the clock skews in the multiple power modes designs [1][2][3][4][5]. The delay of an ADB is controlled by its control circuit and delay control pins, clock skew variation caused by process variation in different power modes can be tuned by properly replacing some normal clock buffers with ADBs, and assign suitable delay values by properly setting the delay control pins, so that the clock skew constraint in each power mode can be satisfied.…”
Section: Introductionmentioning
confidence: 99%
“…During the post-silicon stage, some research works [1,2] use ADBs (adjustable delay buffers) to adjust the delay of the clock path for eliminating the clock skew of a multi-voltage design. Moreover, in recent years, the effect of PVT variations are considered in [3][4][5][6][7].…”
Section: Introductionmentioning
confidence: 99%