35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings.
DOI: 10.1109/micro.2002.1176253
|View full text |Cite
|
Sign up to set email alerts
|

Vacuum packing: extracting hardware-detected program phases for post-link optimization

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
19
0
2

Publication Types

Select...
5
2
1

Relationship

0
8

Authors

Journals

citations
Cited by 18 publications
(21 citation statements)
references
References 12 publications
0
19
0
2
Order By: Relevance
“…These data allows the program behaviour to be more directly understood from the viewpoint of the underlying hardware platform, and although low level, this information can be used for guiding higher level adaptive behaviour. Barnes et al use hardware profiling to detect hot code regions and apply code optimizations efficiently [6]. Schneider and Gross present a runtime compiler framework using instruction level information provided by hardware counters to detect hot spots and bottlenecks [33].…”
Section: Related Workmentioning
confidence: 99%
“…These data allows the program behaviour to be more directly understood from the viewpoint of the underlying hardware platform, and although low level, this information can be used for guiding higher level adaptive behaviour. Barnes et al use hardware profiling to detect hot code regions and apply code optimizations efficiently [6]. Schneider and Gross present a runtime compiler framework using instruction level information provided by hardware counters to detect hot spots and bottlenecks [33].…”
Section: Related Workmentioning
confidence: 99%
“…Merten et al [5] use the phase information to develop a run-time system for dynamically optimizing frequently executed code. Barnes et al [6] extend this idea to perform phase-directed compiler optimizations. Biesbrouck et al [7] use phase behavior to guide simulation for Simultaneous Multithreading [8].…”
Section: Introductionmentioning
confidence: 99%
“…The phase analysis for serial programs is already a mature area [1,6,[10][11][12][13][14][15][16][17]. Architectural metrics (for example, CPI, cache miss rate or hit rate, branch frequency) and code signatures have been examined to extract phase information.…”
Section: Introductionmentioning
confidence: 99%
“…Phase behavior can be exploited for accurate architecture simulation [27,28], to save energy by dynamically reconfiguring caches and processor width [1,29,8,7], to guide compiler optimizations [20,2], to guide remote profiling [21], and to choose which core to run a process on in a multi-core architecture [15]. All of these techniques take advantage of the phase behavior that exists in programs, and most of them focus on the phase behavior seen at a specific granularity (fixed length interval length) of execution.…”
Section: Introductionmentioning
confidence: 99%