2014
DOI: 10.1109/tpds.2013.14
|View full text |Cite
|
Sign up to set email alerts
|

UnSync-CMP: Multicore CMP Architecture for Energy-Efficient Soft-Error Reliability

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
5
0

Year Published

2015
2015
2019
2019

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 10 publications
(6 citation statements)
references
References 18 publications
0
5
0
Order By: Relevance
“…Jeyapaul et al [40] proposed UnSync-CMP, a customizable and redundant Chip Multiprocessor (CMP). The platform uses redundancy to handle cache soft errors.…”
Section: Related Workmentioning
confidence: 99%
“…Jeyapaul et al [40] proposed UnSync-CMP, a customizable and redundant Chip Multiprocessor (CMP). The platform uses redundancy to handle cache soft errors.…”
Section: Related Workmentioning
confidence: 99%
“…Some works, e.g. [35], [36], [37] have proposed multi-core architectures that exploit redundancy at different levels of abstraction to target low-energy consumption and reliability. [35] has proposed an adaptive multicore architecture that selectively adjusts pipeline-level redundancy to satisfy reliability target with low energy consumption.…”
Section: Related Workmentioning
confidence: 99%
“…[35] has proposed an adaptive multicore architecture that selectively adjusts pipeline-level redundancy to satisfy reliability target with low energy consumption. [36] has proposed a customizable chip-level redundancy technique for multi-core systems that utilizes power efficient hardware fault-detection mechanisms along with forward recovery to reduce overheads in case of fault-free executions. [37] has considered the effects of DVS on the soft error rate and proposed a flexible dual modular redundancy (DMR) mechanism that selectively enables per-core DMR to increase reliability.…”
Section: Related Workmentioning
confidence: 99%
“…For example, the recent work of Upasani et al [2014a] keeps two copies of the register file and the register allocation table (RAT) to achieve low performance overhead. Jeyapaul et al [2014] explore multicore CMP architecture to recover from soft errors with an efficiently modified cache structure. However, they rely on only parity checking to sequential logic for detecting a soft error; that is, combinational logic is still vulnerable to the soft errors and thus they may generate SDC.…”
Section: Soft Error Recoverymentioning
confidence: 99%