2019 18th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm) 2019
DOI: 10.1109/itherm.2019.8757412
|View full text |Cite
|
Sign up to set email alerts
|

Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips

Abstract: High power densities lead to thermal hot spots in modern processors. These power densities are expected to reach kW/cm 2 scale in future high-performance chips and this increase may significantly degrade performance and reliability, if not handled efficiently. Using two-phase vapor chambers (VCs) with micropillar wick evaporators is an emerging technique that removes heat through the evaporation process of a coolant and has the potential to remove high heat fluxes. In this cooling system, the coolant is suppli… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
6
0

Year Published

2019
2019
2022
2022

Publication Types

Select...
2
2

Relationship

2
2

Authors

Journals

citations
Cited by 4 publications
(6 citation statements)
references
References 31 publications
0
6
0
Order By: Relevance
“…Micropillar wick evaporator is one type of evaporator that is particularly interesting because it uses thin-film evaporation to provide high HTC ( Vaartstra. et al., 2019 ; Yuan et al., 2019a ; 2019b ). The HTC and dry-out heat flux of the micropillar wick evaporator are mainly determined by the micropillar geometry (height, diameter, and pitch).…”
Section: Introductionmentioning
confidence: 98%
See 3 more Smart Citations
“…Micropillar wick evaporator is one type of evaporator that is particularly interesting because it uses thin-film evaporation to provide high HTC ( Vaartstra. et al., 2019 ; Yuan et al., 2019a ; 2019b ). The HTC and dry-out heat flux of the micropillar wick evaporator are mainly determined by the micropillar geometry (height, diameter, and pitch).…”
Section: Introductionmentioning
confidence: 98%
“…The cooling performance and cooling power of these potential solutions vary significantly based on the cooling parameters (such as liquid flow velocity, evaporator design, TEC current, etc.) ( Yuan et al., 2019a ; 2019b ). The selection of the cooling technologies and the cooling parameters also needs to consider the chip architecture, chip size, and floorplan, as well as the power profiles of the applications running on the given chip.…”
Section: Introductionmentioning
confidence: 99%
See 2 more Smart Citations
“…The reason for this accuracy loss is that these correlations are derived from various prototypes with different microchannel height, width, shape, and mass flow velocity values, and they are not sufficiently modular for application to any other microchannel structures and flow velocities. Yuan et al introduced a CTM for two-phase VCs with micropillar wick evaporators [17], [18]. The HTC values of micropillar wick geometries are extracted from a finite element model and stored in a lookup table.…”
Section: Introductionmentioning
confidence: 99%