2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) 2019
DOI: 10.1109/islped.2019.8824965
|View full text |Cite
|
Sign up to set email alerts
|

Modeling and Optimization of Chip Cooling with Two-Phase Vapor Chambers

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
17
0

Year Published

2020
2020
2023
2023

Publication Types

Select...
3
2

Relationship

2
3

Authors

Journals

citations
Cited by 5 publications
(17 citation statements)
references
References 26 publications
0
17
0
Order By: Relevance
“…2 (a). We divide the whole chip into grids as in prior work [17]. For the processing layer, the grid cell structure is shown in Fig.…”
Section: A Ctm For Two-phase Vcs With Hybrid Wickmentioning
confidence: 99%
See 3 more Smart Citations
“…2 (a). We divide the whole chip into grids as in prior work [17]. For the processing layer, the grid cell structure is shown in Fig.…”
Section: A Ctm For Two-phase Vcs With Hybrid Wickmentioning
confidence: 99%
“…In this way, we do not need to model the heat sink on top of the VC. Instead, we use a previously established relationship between HTC and thermal resistance to define R hybrid [13], [17]. In addition, we assume the VC itself only contains saturated vapor at a constant temperature [8], [15].…”
Section: A Ctm For Two-phase Vcs With Hybrid Wickmentioning
confidence: 99%
See 2 more Smart Citations
“…The cooling performance and cooling power of these potential solutions vary significantly based on the cooling parameters (such as liquid flow velocity, evaporator design, TEC current, etc.) ( Yuan et al., 2019a ; 2019b ). The selection of the cooling technologies and the cooling parameters also needs to consider the chip architecture, chip size, and floorplan, as well as the power profiles of the applications running on the given chip.…”
Section: Introductionmentioning
confidence: 99%