The platform will undergo maintenance on Sep 14 at about 7:45 AM EST and will be unavailable for approximately 2 hours.
2010 IEEE/IFIP International Conference on Dependable Systems &Amp; Networks (DSN) 2010
DOI: 10.1109/dsn.2010.5544276
|View full text |Cite
|
Sign up to set email alerts
|

Transient fault models and AVF estimation revisited

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
56
1

Year Published

2011
2011
2019
2019

Publication Types

Select...
4
3
1

Relationship

1
7

Authors

Journals

citations
Cited by 60 publications
(57 citation statements)
references
References 15 publications
0
56
1
Order By: Relevance
“…A large area percentage of such circuits is occupied by several in-core memory arrays, typically implemented using SRAM cells. To the best our knowledge, while extensive studies have been performed on increasing the reliability of caches and register files [9], [10], there are only a few MBU studies targeting modern microprocessor modules [11], [8], [12], [13]. The reason for the absence of such studies is three-fold: In this paper, we address the aforementioned issues and we present a multiple bit error vulnerability analysis study in a complex modern microprocessor module.…”
Section: Introductionmentioning
confidence: 93%
See 1 more Smart Citation
“…A large area percentage of such circuits is occupied by several in-core memory arrays, typically implemented using SRAM cells. To the best our knowledge, while extensive studies have been performed on increasing the reliability of caches and register files [9], [10], there are only a few MBU studies targeting modern microprocessor modules [11], [8], [12], [13]. The reason for the absence of such studies is three-fold: In this paper, we address the aforementioned issues and we present a multiple bit error vulnerability analysis study in a complex modern microprocessor module.…”
Section: Introductionmentioning
confidence: 93%
“…Another investigation of multi-bit failure rate in advanced memories appears in [4], targeting 65nm processes. The latter study triggered the definition of a new probabilistic framework for incorporating vulnerability of memories to different fault multiplicities into AVF [12]. Finally, [8] investigates the effects of multiple non-concurrent faults on the operation of a microprocessor.…”
Section: Related Workmentioning
confidence: 99%
“…These faults are expected to increase in the future processors due to shrinking size of the transistors. A two-bit spatial multi-bit upset can manifest in two ways: horizontal or vertical [8]. Horizontal means two adjacent bits on the same word are upset.…”
Section: Multi-bit Faultsmentioning
confidence: 99%
“…al. [8] injected single and double transient faults due to single particle strike. They injected faults to the register file and to the reorder buffer by using a functional simulator (PtlSim).…”
Section: Related Workmentioning
confidence: 99%
“…Other papers also report research related to evaluation of derating factors and architectural vulnerability. Additional details on this topic may be found in [6][7][8][9][10].…”
Section: Introductionmentioning
confidence: 99%