2009
DOI: 10.1117/12.824299
|View full text |Cite
|
Sign up to set email alerts
|

Trade-off between inverse lithography mask complexity and lithographic performance

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
42
0

Year Published

2009
2009
2022
2022

Publication Types

Select...
5
3

Relationship

3
5

Authors

Journals

citations
Cited by 44 publications
(47 citation statements)
references
References 14 publications
0
42
0
Order By: Relevance
“…ILT model based SRAF has demonstrated its significantly better performance in process window (PW) over conventional OPC approaches [2,4,5] . With increased performance with SRAF insertion, the mask write time is increased accordingly.…”
Section: Local CD Aware Selective Srafmentioning
confidence: 99%
See 2 more Smart Citations
“…ILT model based SRAF has demonstrated its significantly better performance in process window (PW) over conventional OPC approaches [2,4,5] . With increased performance with SRAF insertion, the mask write time is increased accordingly.…”
Section: Local CD Aware Selective Srafmentioning
confidence: 99%
“…In prior publications [1,2] , it has been shown that the Inverse Synthesizer (IS™) produces ILT full chip mask of contact layer with comparable mask write time with conventional OPC while maintaining the significant litho gains of ILT mask.To fully integrate ILT masks into production for all layers including line and space layers such as poly layer, a number of areas were investigated to further reduce ILT mask complexity and total e-beam shot count. These areas include flexible controls of SRAF placements with respect to local feature sizes, improved Manhattan algorithm, topology based variable Manhattan segmentation, jog alignment and mask data fracture optimization.…”
mentioning
confidence: 98%
See 1 more Smart Citation
“…In addition, the maximum shot size of e-beam writer is also decreasing to obtain the high current density, so that the trend of small shot size is accelerating. Figure 8 (a) shows the mask patterns of computational lithography for wafer contact pattern [11]. According to the degree of OPC, the mask patterns of Fig.…”
Section: Figure 7 Schematic Diagram On E-beam Size Errormentioning
confidence: 99%
“…
Masks computed by use of Inverse Lithography Technology (ILT) are being increasingly used in 32nm and below nodes for their significantly better litho performance outperforming model-based OPC [1,2]. This technique poses the design of photomasks as an inverse problem and then solves for the optimal photomask using rigorous mathematical approach [3,4].
…”
mentioning
confidence: 99%