2010 International Conference on Dependable Systems and Networks Workshops (DSN-W) 2010
DOI: 10.1109/dsnw.2010.5542613
|View full text |Cite
|
Sign up to set email alerts
|

Towards understanding the effects of intermittent hardware faults on programs

Abstract: Abstract

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
14
0

Year Published

2011
2011
2020
2020

Publication Types

Select...
4
2
2

Relationship

0
8

Authors

Journals

citations
Cited by 16 publications
(14 citation statements)
references
References 20 publications
0
14
0
Order By: Relevance
“…The faults induced by IES are typically partitioned as PFs, Ifs, and transient faults (TFs) according to their durations. Since TFs cannot be traced to a defect in a particular part of the system and, normally, their adverse effects disappear rapidly, [11][12][13] they are ignored in this paper, and TFs diagnosis can be found in Ref. 14 .…”
Section: Reference Stress Events Divisionmentioning
confidence: 99%
“…The faults induced by IES are typically partitioned as PFs, Ifs, and transient faults (TFs) according to their durations. Since TFs cannot be traced to a defect in a particular part of the system and, normally, their adverse effects disappear rapidly, [11][12][13] they are ignored in this paper, and TFs diagnosis can be found in Ref. 14 .…”
Section: Reference Stress Events Divisionmentioning
confidence: 99%
“…These errors can damage the correct software execution by producing erroneous results if the computation is completed, or by preventing the execution of the application by causing exceptions, interrupts, abnormal terminations or applications hang-up. Nevertheless, the software stack can also play an important role in masking errors, introducing a further error masking effect (Software Vulnerability Factor -SVF), which may further improve the system reliability [39][40][41][42][43][44][45][46].…”
Section: A Cross-layer Approach For System Reliability Evaluationmentioning
confidence: 99%
“…An intermittent fault occurs repeatedly at the same location, it tends to occur in bursts for a period of time when the fault is activated while replacement of the offending circuit removes the intermittent fault [6], [28]. It has been suggested that intermittent faults have the potential to impact program execution to a greater extent when compared with transient faults [19]. Moreover, it is hard to diagnose by post facto using hardware/software tests because intermittent faults do not persist and the conditions that caused the fault are hard to regenerate.…”
Section: Intermittent Faultsmentioning
confidence: 99%
“…Layali Rashid [19] injected intermittent faults in software level to understand their affects on the software. However, they made their experiments only on two applications (matrix multiply and insertion sort).…”
Section: Related Workmentioning
confidence: 99%