2015
DOI: 10.1016/j.micpro.2015.06.003
|View full text |Cite
|
Sign up to set email alerts
|

Cross-layer reliability evaluation, moving from the hardware architecture to the system level: A CLERECO EU project overview

Abstract: International audienceAdvanced computing systems realized in forthcoming technologies hold the promise of a significant increase of computational capabilities. However, the same path that is leading technologies toward these remarkable achievements is also making electronic devices increasingly unreliable. Developing new methods to evaluate the reliability of these systems in an early design stage has the potential to save costs, produce optimized designs and have a positive impact on the product time-to-market Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
7
0
1

Year Published

2016
2016
2022
2022

Publication Types

Select...
3
3
1

Relationship

3
4

Authors

Journals

citations
Cited by 14 publications
(8 citation statements)
references
References 56 publications
(50 reference statements)
0
7
0
1
Order By: Relevance
“…We previously attempted to define software fault models at the level of the ISA of the microprocessor in [7]. Faults are described at this level as alterations that have an impact on the ISA of the microprocessor.…”
Section: Software Fault Modelsmentioning
confidence: 99%
See 1 more Smart Citation
“…We previously attempted to define software fault models at the level of the ISA of the microprocessor in [7]. Faults are described at this level as alterations that have an impact on the ISA of the microprocessor.…”
Section: Software Fault Modelsmentioning
confidence: 99%
“…A cross-layer holistic design approach has several advantages compared to traditional single layer techniques, but it increases the complexity of the design process since a larger design space must be explored. This translates into an increasing demand for system-level reliability analysis frameworks able to evaluate different combinations of cross-layer error protection techniques early in the design cycle [6] [7]. Unfortunately, such tools still lack maturity, especially compared to those available to optimize other design parameters such as power and performance.…”
Section: Introductionmentioning
confidence: 99%
“…We consider the SFMs has introduced by Vallero et. al in [7] that translate the effect of a hardware failure model into the software domain (e.g., an SBU translates into a wrong data of an instruction). SFMs represent the link between the hardware and the software layer of a full system stack.…”
Section: Software Components Characterizationmentioning
confidence: 99%
“…Characterizing the SFBs of a software component means providing the probability of observing a behavior in the presence of one or a combination of SFMs. Due to the limited space available in this paper, the reader may refer to [7] for a detailed description of the concept of SFM and for a preliminary taxonomy. Fig.…”
Section: Software Components Characterizationmentioning
confidence: 99%
“…Hardware faults may propagate through the hardware (HW) and software (SW) layers of the system stack, reaching the system output, or be masked during this propagation process. Different protection mechanisms can be employed at different layers implementing what is nowadays called cross-layer reliability enhancement [4] [5] [6]. Accurately measuring the impact on system reliability of any change in the technology, circuit, microarchitecture and software is a complex design task, involving design teams from all abstraction layers.…”
Section: Introductionmentioning
confidence: 99%