2011
DOI: 10.1016/j.mejo.2011.02.005
|View full text |Cite
|
Sign up to set email alerts
|

Timing slack monitoring under process and environmental variations: Application to a DSP performance optimization

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
10
0

Year Published

2012
2012
2016
2016

Publication Types

Select...
4
4

Relationship

0
8

Authors

Journals

citations
Cited by 11 publications
(10 citation statements)
references
References 18 publications
0
10
0
Order By: Relevance
“…Although the basis of this architecture has been demonstrated in literature [Rebaud et al 2011;Vincent et al 2012;Agkul et al 2012], practical realizations fully exploiting the additional functionalities of emerging devices still need to be explored, thereby opening broad research horizons towards even lower power consumption in complex systems.…”
Section: Perspectives: An Ideal Avfs Architecture Fully Unlocking Emementioning
confidence: 99%
“…Although the basis of this architecture has been demonstrated in literature [Rebaud et al 2011;Vincent et al 2012;Agkul et al 2012], practical realizations fully exploiting the additional functionalities of emerging devices still need to be explored, thereby opening broad research horizons towards even lower power consumption in complex systems.…”
Section: Perspectives: An Ideal Avfs Architecture Fully Unlocking Emementioning
confidence: 99%
“…5. Since these buffers are also needed for the edge detector designs in [13], [24], [26], these extra buffers are excluded for each design during comparison in Table I. Inserting more buffers creates wider edge which is needed for proper functioning of the warning FF.…”
Section: A Edge Detectormentioning
confidence: 99%
“…However, a comparator is needed to monitor the two code words which in turn increase the area of the sensor circuit. The timing slack monitoring circuit with a window generator and a sensor cell is presented in [24]. As large number of buffers are required in the window generator circuit which would lead to difficulty in balanced clock tree synthesis and large clock power consumption.…”
Section: Introductionmentioning
confidence: 99%
“…Similar works proposed to anticipate timing failures rather than recovering after their occurrence [12]. Another popular approach consists in directly measuring critical path delay using a Time-toDigital Converter (TDC) [9] to translate timing information into digital values.…”
Section: Related Workmentioning
confidence: 99%
“…The need of such dynamic monitoring of performance has spurred a vast amount of literature concerned with the implementation of embedded delay monitors with the most diverse characteristics [5], [8], [10], [12], [15], [17]. All these implementations are useful in different ways depending on the true objective of the measurement.…”
Section: Introductionmentioning
confidence: 99%