2010
DOI: 10.1007/s10766-009-0124-7
|View full text |Cite
|
Sign up to set email alerts
|

Thread-Parallel Integrated Test Pattern Generator Utilizing Satisfiability Analysis

Abstract: Efficient utilization of the inherent parallelism of multi-core architectures is a grand challenge in the field of electronic design automation (EDA). One EDA algorithm associated with a high computational cost is automatic test pattern generation (ATPG). We present the ATPG tool TIGUAN based on a thread-parallel SAT solver. Due to a tight integration of the SAT engine into the ATPG algorithm and a carefully chosen mix of various optimization techniques, multi-million-gate industrial circuits are handled witho… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
17
0

Year Published

2014
2014
2022
2022

Publication Types

Select...
4
2
1

Relationship

2
5

Authors

Journals

citations
Cited by 27 publications
(17 citation statements)
references
References 46 publications
0
17
0
Order By: Relevance
“…As a more generic approach, in the following we present the conditional multiple-stuck-at fault model (CMS@) defined in [13], and an extension thereof the enhanced conditional multiple-stuck-at fault model (ECMS@) [28]. As a further application demonstrating the potential of SAT-based ATPG, we consider ATPG for interconnect open faults.…”
Section: Complex Static Fault Modelsmentioning
confidence: 99%
See 2 more Smart Citations
“…As a more generic approach, in the following we present the conditional multiple-stuck-at fault model (CMS@) defined in [13], and an extension thereof the enhanced conditional multiple-stuck-at fault model (ECMS@) [28]. As a further application demonstrating the potential of SAT-based ATPG, we consider ATPG for interconnect open faults.…”
Section: Complex Static Fault Modelsmentioning
confidence: 99%
“…Besides testing of resistive bridges [13], applications include ATPG power-droop testing [32], minimization/maximization of fault affected outputs for stuckat faults, and switching activity minimization for transition faults [28].…”
Section: A Cms@ and Ecms@ Fault Modelmentioning
confidence: 99%
See 1 more Smart Citation
“…However, with the development of advanced SAT solvers [29,34,38], SAT-based ATPG has gained increasing attention in recent years [6,7,13]. In particular, it has been shown that SAT-based ATPG is more effective for hard to test faults and for redundancy identification [6,7,13].…”
Section: Sat-based Atpgmentioning
confidence: 99%
“…In particular, it has been shown that SAT-based ATPG is more effective for hard to test faults and for redundancy identification [6,7,13]. The strength in redundancy identification particularly qualifies SAT-based ATPG for analyzing fault tolerance properties, which often requires to show that faults cannot be detected [23,24].…”
Section: Sat-based Atpgmentioning
confidence: 99%