2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture 2010
DOI: 10.1109/micro.2010.20
|View full text |Cite
|
Sign up to set email alerts
|

The ZCache: Decoupling Ways and Associativity

Abstract: Abstract-The ever-increasing importance of main memory latency and bandwidth is pushing CMPs towards caches with higher capacity and associativity. Associativity is typically improved by increasing the number of ways. This reduces conflict misses, but increases hit latency and energy, placing a stringent trade-off on cache design. We present the zcache, a cache design that allows much higher associativity than the number of physical ways (e.g. a 64-associative cache with 4 ways). The zcache draws on previous r… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

2
111
0

Year Published

2011
2011
2020
2020

Publication Types

Select...
5
3
1

Relationship

1
8

Authors

Journals

citations
Cited by 165 publications
(113 citation statements)
references
References 48 publications
(55 reference statements)
2
111
0
Order By: Relevance
“…Vantage assumes a special cache organization (ZCache) is in place [14]. The TMD strategy is based on a custom design of the allocation policy so that the allocation and enforcement policies work in harmony.…”
Section: Tmd Strategymentioning
confidence: 99%
“…Vantage assumes a special cache organization (ZCache) is in place [14]. The TMD strategy is based on a custom design of the allocation policy so that the allocation and enforcement policies work in harmony.…”
Section: Tmd Strategymentioning
confidence: 99%
“…It is simple, but it supports a small number of coarsely-sized partitions (in multiples of way size); partition associativity is proportional to its way count, so partitioning degrades performance; and more importantly, reconfigurations are slow and unpredictable (Section 7). Alternatively, Vantage [45] leverages the statistical properties of skew-associative caches [48] and zcaches [44] to implement partitioning efficiently. Vantage supports fine-grained partitions (defined in cache lines), provides strict guarantees on partition sizes and isolation, can resize partitions without moves or invalidations, reconfiguration transients are much faster than in way-partitioning [45], and it is cheap to implement (requiring ≈1% extra state and negligible logic).…”
Section: Cache Partitioning In Cmpsmentioning
confidence: 99%
“…Every miss in that partition grows its size by one line, evicting lines from partitions that are being downsized (through Vantage's two-stage demotion-eviction process [45]). Vantage leverages the statistical properties of zcaches [44] to guarantee that a growing partition has a negligible probability of suffering an eviction (about once in a million accesses) independently of the access pattern, so we can safely assume that no line is evicted until the partition reaches its target 2 . Under these conditions, transients are easy to predict.…”
Section: Strict Ubikmentioning
confidence: 99%
“…More sophisticated approaches use multiple bit-hash schemes, either on multiple ways, such as skewed-associative caches [9], or on the same way, such as column-associative caches [17], hashrehash caches [18], ZCaches [19], [20], or various indirect indexing schemes [21], [8]. Many of these schemes are difficult to implement in practice.…”
Section: B Power-of-2 Indexing Algorithmsmentioning
confidence: 99%