Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems 2014
DOI: 10.1145/2541940.2541944
|View full text |Cite
|
Sign up to set email alerts
|

Ubik

Abstract: Chip-multiprocessors (CMPs) must often execute workload mixes with different performance requirements. On one hand, user-facing, latency-critical applications (e.g., web search) need low tail (i.e., worst-case) latencies, often in the millisecond range, and have inherently low utilization. On the other hand, compute-intensive batch applications (e.g., MapReduce) only need high long-term average performance. In current CMPs, latency-critical and batch applications cannot run concurrently due to interference on … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
4
0

Year Published

2014
2014
2023
2023

Publication Types

Select...
5
2
2

Relationship

0
9

Authors

Journals

citations
Cited by 114 publications
(4 citation statements)
references
References 62 publications
0
4
0
Order By: Relevance
“…Improving server cost-efficiency via multi-tenancy has been studied extensively in prior literature [18], [19], [20], [21], [22], [23]. As co-located multi-tenant tasks contend for shared resources, prior work has focused on how to minimize interference and performance unpredictability.…”
Section: Related Workmentioning
confidence: 99%
“…Improving server cost-efficiency via multi-tenancy has been studied extensively in prior literature [18], [19], [20], [21], [22], [23]. As co-located multi-tenant tasks contend for shared resources, prior work has focused on how to minimize interference and performance unpredictability.…”
Section: Related Workmentioning
confidence: 99%
“…S 2 C 2 differs from these techniques because it is a pro-active approach to straggler mitigation. In works [18,25,46] the authors explore system sources of tail latency from system and implement mechanisms to eliminate these causes. In another set of works, [9,24,31,48], authors focus on improving resource efficiency while providing low latency.…”
Section: Related Workmentioning
confidence: 99%
“…They propose a QoS metric that modulates the allocated cache space for a given thread. Ubik [16] employs ZCaches [17], a radical change from traditional caches, to partition the cache. Their goal is to provide QoS while at the same time improving the performance of batch applications.…”
Section: Related Workmentioning
confidence: 99%