Extreme Ultraviolet (EUV) Lithography IV 2013
DOI: 10.1117/12.2011528
|View full text |Cite
|
Sign up to set email alerts
|

The need for EUV lithography at advanced technology for sustainable wafer cost

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
10
0

Year Published

2013
2013
2019
2019

Publication Types

Select...
5
3

Relationship

1
7

Authors

Journals

citations
Cited by 15 publications
(10 citation statements)
references
References 0 publications
0
10
0
Order By: Relevance
“…Furthermore, we have proposed and evaluated various EUVLbased patterning solutions to have an economically sustainable dimensional scaling. The wafer cost evolution at advanced technology node have been analyzed in detail in recent times [5], [6]. However, the semiconductor industry will benefit from a systematic analysis at a die level.…”
Section: Figure 1 -Imec Advanced Logic Lithography Roadmapmentioning
confidence: 99%
See 1 more Smart Citation
“…Furthermore, we have proposed and evaluated various EUVLbased patterning solutions to have an economically sustainable dimensional scaling. The wafer cost evolution at advanced technology node have been analyzed in detail in recent times [5], [6]. However, the semiconductor industry will benefit from a systematic analysis at a die level.…”
Section: Figure 1 -Imec Advanced Logic Lithography Roadmapmentioning
confidence: 99%
“…The cost analysis framework used in this study is an internal framework that is made of two primary components, namely virtual process flow and Cost of Ownership (CoO) database of the fab toolset. The details of these two components are discussed in earlier publications [5], [6]. We have used a representative process flow N28 till N7 technology nodes developed and verified by the imec Process Technology team.…”
Section: Impact On Wafer Costmentioning
confidence: 99%
“…However, several technological hurdles must be overcome before the implementation of EUV is possible [1][2][3]. Photoresist performance, in particular, must improve dramatically before EUVL can be used in the manufacture of IC devices [4]. Many new resist platforms have been explored in recent years, to find pathways for the dramatic impact in lithographic performance.…”
Section: Introductionmentioning
confidence: 99%
“…In order to sustain Moore's law 1 , which says that computing power will double every two years, it is imperative that the semi-conductor industry makes the transition to extreme ultra-violet (EUV) lithography for high volume manufacturing (HVM) 2 . The radiation sources needed for HVM EUV lithography rely on laser-produced plasmas (LPP) to produce the required EUV radiation [3][4][5] .…”
Section: Introductionmentioning
confidence: 99%