2010 15th IEEE European Test Symposium 2010
DOI: 10.1109/etsym.2010.5512787
|View full text |Cite
|
Sign up to set email alerts
|

Test-architecture optimization for TSV-based 3D stacked ICs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

1
33
0

Year Published

2011
2011
2019
2019

Publication Types

Select...
4
4
1

Relationship

1
8

Authors

Journals

citations
Cited by 52 publications
(34 citation statements)
references
References 20 publications
1
33
0
Order By: Relevance
“…The configurable options of each lane of the flexible parallel test bus include direction (In, Out or BiDir) and edge of clock for sending output (positive or negative). Generally, besides a sequential test access to each die, the test access optimization schemes can result in daisy-chained and/or parallel accesses [5,6,7]. Even though there is a separate TAP controller on each die of P1838 complied stack, all wrapped dies stay in a common state, e.g., shift, capture, update, because control signals are broadcast to them.…”
Section: Introductionmentioning
confidence: 99%
“…The configurable options of each lane of the flexible parallel test bus include direction (In, Out or BiDir) and edge of clock for sending output (positive or negative). Generally, besides a sequential test access to each die, the test access optimization schemes can result in daisy-chained and/or parallel accesses [5,6,7]. Even though there is a separate TAP controller on each die of P1838 complied stack, all wrapped dies stay in a common state, e.g., shift, capture, update, because control signals are broadcast to them.…”
Section: Introductionmentioning
confidence: 99%
“…Various authors have discussed testing, test architecture design and optimization for non-stacked ICs with IEEE 1149.1 [1-3, 8-10, 21, 22, 27, 28, 31]. For SICs with TSVs, optimization of DfT architecture has also been addressed [17,20]. However, reduction of overall test cost for core based ICs supported by the IEEE 1149.1 test infrastructure, considering both test time and DfT hardware while meeting a power constraint, which remains unexplored, is addressed in this paper.…”
Section: Introductionmentioning
confidence: 99%
“…3D TSV-SICs can be obtained by three stacking processes, viz, Die-toDie (D2D), Wafer-to-Wafer (W2W) and Die-to-Wafer (D2W). In W2W stacking, complete wafers are stacked over one another, resulting in exponentially decreasing yields with increasing number of layers in the stack [15]. Therefore, this paper considers D2W and D2D stacking [15].…”
Section: Introductionmentioning
confidence: 99%
“…Recent research have addressed test architecture design for 3D TSV-SICs [15], testing the TSVs [7-10, 15, 18] and 3D TSV-SIC specific defects [7,10]. Due to imperfections in IC manufacturing, traditionally, for non-stacked ICs, each individual chip was tested twice [1,14] in the following instances:…”
Section: Introductionmentioning
confidence: 99%