2006
DOI: 10.1049/ip-cdt:20050210
|View full text |Cite
|
Sign up to set email alerts
|

System integration by request-driven GALS design

Abstract: A novel request-driven globally asynchronous locally synchronous (GALS) technique for the system integration of complex digital blocks is proposed. For this new GALS technique, an asynchronous wrapper compliant is developed and evaluated. This proposed GALS technique is applied to a baseband processor compatible with the wireless LAN standard IEEE 802.11a. The developed GALS baseband processor chip is fabricated and measured. Besides improvements of the system integration process, a 5 dB reduction in electroma… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2008
2008
2022
2022

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 20 publications
(3 citation statements)
references
References 15 publications
0
3
0
Order By: Relevance
“…GALS systems [13,14,16] attach together a number of synchronous building blocks, and provide asynchronous facilities for the inter-block communication. While some of the tool maturity issues mentioned above still hold, the encapsulation of mixed-clock concerns within well-defined boundaries, which can be validated separately, provides a more conservative, and possibly more promising, solution to the interconnection issue.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…GALS systems [13,14,16] attach together a number of synchronous building blocks, and provide asynchronous facilities for the inter-block communication. While some of the tool maturity issues mentioned above still hold, the encapsulation of mixed-clock concerns within well-defined boundaries, which can be validated separately, provides a more conservative, and possibly more promising, solution to the interconnection issue.…”
Section: Related Workmentioning
confidence: 99%
“…While some of the tool maturity issues mentioned above still hold, the encapsulation of mixed-clock concerns within well-defined boundaries, which can be validated separately, provides a more conservative, and possibly more promising, solution to the interconnection issue. Several ways to synchronize clock domains at the boundaries exist, such as interleaving pipeline registers, using dual-clock FIFOs, adding programmable delays [17], deploying synchronous-to-asynchronous wrappers [13]. Although some of these solutions (for instance, dual-clock FIFOs) are very flexible, allowing for arbitrary clock frequencies in the sender and receiver domain, they all have one or more drawbacks, ranging from robustness to implementation complexity, from high latency to large area overhead.…”
Section: Related Workmentioning
confidence: 99%
“…Practical GALS implementations may form much more complex structures, such as bus [149] or NoC structures [87], for inter-block communications, and use different data synchronization mechanisms. Additionally, the GALS paradigm does not rely on absolute timing information and therefore favours modularity, by means of local islands of synchronicity that can be arbitrarily combined to build up larger systems [83]. NoC architectures are generally viewed as an ideal target for application of the GALS paradigm [87].…”
Section: Technology Challengesmentioning
confidence: 99%