2021
DOI: 10.1038/s41598-021-97122-9
|View full text |Cite
|
Sign up to set email alerts
|

Super fine cerium hydroxide abrasives for SiO2 film chemical mechanical planarization performing scratch free

Abstract: Face-centered-cubic crystallized super-fine (~ 2 nm in size) wet-ceria-abrasives are synthesized using a novel wet precipitation process that comprises a Ce4+ precursor, C3H4N2 catalyst, and NaOH titrant for a synthesized termination process at temperature of at temperature of 25 °C. This process overcomes the limitations of chemical–mechanical-planarization (CMP)-induced scratches from conventional dry ceria abrasives with irregular surfaces or wet ceria abrasives with crystalline facets in nanoscale semicond… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
3
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
8

Relationship

1
7

Authors

Journals

citations
Cited by 8 publications
(4 citation statements)
references
References 37 publications
0
3
0
Order By: Relevance
“…Hence, the removal rate of SiCN under the alkaline condition could be more easily adjusted than that of SiO 2 . 27,29,30 Removal rate.-Given the zeta-potential results, we conducted CMP using the alkaline slurry. Figure 8 shows the removal rate (RR) of the Cu, Ta, and SiCN.…”
Section: Resultsmentioning
confidence: 99%
“…Hence, the removal rate of SiCN under the alkaline condition could be more easily adjusted than that of SiO 2 . 27,29,30 Removal rate.-Given the zeta-potential results, we conducted CMP using the alkaline slurry. Figure 8 shows the removal rate (RR) of the Cu, Ta, and SiCN.…”
Section: Resultsmentioning
confidence: 99%
“…It is important to remember that, generally, the polishing rate of the SOC film surface increases with a decreasing repulsive force between the ZrO 2 abrasives and the SOC film surface. [ 26 , 27 , 28 , 29 ]. Otherwise, for Region 2, with a ferric catalyst concentration of 0.04~0.20 wt%, the attractive force between the negatively charged ZrO 2 abrasives and positively charged SOC film surface increased notably, from 0 to 32.67 abs.…”
Section: Resultsmentioning
confidence: 99%
“…In general, the polishing rate of the SOC film surface increases with the attractive force between the ZrO 2 abrasives and the SOC film surface. [ 26 , 27 , 28 , 29 ]. Therefore, for Region 2, the increase in the SOC film surface polishing rate with the ferric catalyst concentration could not be understood from the increase in both the chemical property (i.e., C-C bonds breakage) and the mechanical property (i.e., electrostatic force between the ZrO 2 abrasive and the SOC film surface).…”
Section: Resultsmentioning
confidence: 99%
“…Based on the previous reasons, we can explore the key factor affecting the generation of submicron defect by the disparity in the number of submicron defect after CMP through using different CeO 2 . As the presence of coarser particles in polishing slurry tends to create critical defects on the surface, 21,36,41 secondary particles can be considered “coarser contamination particles” compared with primary particles in ultrafine nano‐CeO 2 , which taking UF‐1, for example, its primary particle size is 4.0 nm, whereas the length of the secondary particle is 56 nm. In addition, the agglomeration of CeO 2 has been regarded as a vital reason in the generation of surface scratches 30,42 .…”
Section: Resultsmentioning
confidence: 99%