2011 Design, Automation &Amp; Test in Europe 2011
DOI: 10.1109/date.2011.5763026
|View full text |Cite
|
Sign up to set email alerts
|

Sub-clock power-gating technique for minimising leakage power during active mode

Abstract: Abstract-This paper presents a new technique, called subclock power gating, for reducing leakage power in digital circuits. The proposed technique works concurrently with voltage and frequency scaling and power reduction is achieved by power gating within the clock cycle during active mode unlike traditional power gating which is applied during idle mode. The proposed technique can be implemented using standard EDA tools with simple modifications to the standard power gating design flow. Using a 90nm technolog… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
13
0

Year Published

2011
2011
2014
2014

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 13 publications
(13 citation statements)
references
References 8 publications
0
13
0
Order By: Relevance
“…For experimental comparisons, three modes of operation were implemented in the Cortex-M0: the proposed SCPG with symmetric virtual rail clamping, no power gating enforced using the nOverride signal in Fig. 4, and SCPG with complete shut down [19] achieved by disabling the Ret & nRet transistors shown in Fig. 4.…”
Section: Methodsmentioning
confidence: 99%
See 3 more Smart Citations
“…For experimental comparisons, three modes of operation were implemented in the Cortex-M0: the proposed SCPG with symmetric virtual rail clamping, no power gating enforced using the nOverride signal in Fig. 4, and SCPG with complete shut down [19] achieved by disabling the Ret & nRet transistors shown in Fig. 4.…”
Section: Methodsmentioning
confidence: 99%
“…14. Measured V V dd charge-up and evaluation time in sub-clock power gating with shut down power gating [19] combinational logic is brought out of shut down and reevaluates which opposes the recharging of V V dd [12]. This droop subsequently slows the combinational re-evaluation, exacerbating the length of T eval to 4µs as shown.…”
Section: A Test Chip Validationmentioning
confidence: 99%
See 2 more Smart Citations
“…However, the proposed sub-block AMPG can be very useful in frequency scaling applications [5]. For example, an ARM Cortex-M0 chip targeted for very low power applications is known to consume 0.9 mW with a supply voltage of 0.6 V using a 90 nm technology library, but a typical power budget can be between tens and hundreds of μWs [6].…”
Section: Introductionmentioning
confidence: 99%