2021
DOI: 10.1117/1.jmm.20.4.041403
|View full text |Cite
|
Sign up to set email alerts
|

Study on various curvilinear data representations and their impact on mask and wafer manufacturing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
8
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 10 publications
(8 citation statements)
references
References 16 publications
(16 reference statements)
0
8
0
Order By: Relevance
“…Such considerations are valid from geometric point of view. For example, a few groups have demonstrated some file size reduction using spline representation for the post-OPC masks [2][3][4][5], despite that the post-processing of the post-OPC masks may introduce additional wafer errors. However, from OPC point of view, OPC may need to generate post-OPC results with the file size as small as possible without sacrificing lithographic performance in the first place.…”
Section: Curvilinear Data Representationsmentioning
confidence: 99%
See 1 more Smart Citation
“…Such considerations are valid from geometric point of view. For example, a few groups have demonstrated some file size reduction using spline representation for the post-OPC masks [2][3][4][5], despite that the post-processing of the post-OPC masks may introduce additional wafer errors. However, from OPC point of view, OPC may need to generate post-OPC results with the file size as small as possible without sacrificing lithographic performance in the first place.…”
Section: Curvilinear Data Representationsmentioning
confidence: 99%
“…The CWG has focused on defining a new MULTIGON record as a proposed extension based on the SEMI P39 OASIS standard, capable of describing curvilinear geometric figures using a series of connected spline curves, as an alternative to the conventional piecewise-linear POLYGON record. Though some file size reduction by replacing piecewise-linear figures with spline-based figures has been reported by some MDP researchers using mask pattern post-processing [2][3][4][5][6], they are lacking studies from OPC perspectives to evaluate the existence of the benefit of MULTIGON format.…”
Section: Introduction 11 Backgroundmentioning
confidence: 99%
“…To reduce the data volume of curvilinear layer output, one proposed path is to represent the layer as a sequence of parametric curve shapes. 15 Although actual product implementation data is not yet available, the parametric curve approach will allow complex shapes to be represented with significantly less data in the final output. As the industry aligns on output formats for representing parametric curve shapes, it is important to lay the groundwork by building recipe data structures and solvers to optimize the mask layer with the same data format.…”
Section: Parametric Curve Opcmentioning
confidence: 99%
“…For some cases, a curvilinear mask output will produce >10X more data than the baseline Manhattan solutions. To reduce the data volume of curvilinear layer output, one proposed path is to represent the layer as a sequence of parametric curve shapes 15 . Although actual product implementation data is not yet available, the parametric curve approach will allow complex shapes to be represented with significantly less data in the final output.…”
Section: Introductionmentioning
confidence: 99%
“…As multi-beam mask writing (MBMW) has been introduced, sophisticated mask patterns and higher local pattern fidelity with low sensitivity E-beam resist can be realized in extremely ultraviolet (EUV) mask era [1]. Due to the introduction of the MBMW, data paradigm has been changed from Manhattan and octangular pattern to curved pattern which gives larger process window for mask quality [2].…”
Section: Introductionmentioning
confidence: 99%