2009 3rd ACM/IEEE International Symposium on Networks-on-Chip 2009
DOI: 10.1109/nocs.2009.5071443
|View full text |Cite
|
Sign up to set email alerts
|

Static virtual channel allocation in oblivious routing

Abstract: Most virtual channel routers have multiple virtual channels to mitigate the effects of head-of-line blocking. When there are more flows than virtual channels at a link, packets or flows must compete for channels, either in a dynamic way at each link or by static assignment computed before transmission starts. In this paper, we present methods that statically allocate channels to flows at each link when oblivious routing is used, and ensure deadlock freedom for arbitrary minimal routes when two or more virtual … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
19
0

Year Published

2009
2009
2022
2022

Publication Types

Select...
5
3
1

Relationship

2
7

Authors

Journals

citations
Cited by 29 publications
(19 citation statements)
references
References 15 publications
0
19
0
Order By: Relevance
“…This directly supports dynamic VCA (all VCs are listed in the result with equal probabilities) as well as static set VCA [11] (the VC is a function of the flow ID). Most other VCA schemes used to avoid deadlock, such as that of O1TURN (where the XY and YX subroutes must be on different VCs), Valiant/ROMM (where each phase has a separate VC set), as well as various adaptive VCA schemes like the turn model [12], are easily implemented as a function of the current and next-hop flow IDs.…”
Section: A Network Modelmentioning
confidence: 74%
“…This directly supports dynamic VCA (all VCs are listed in the result with equal probabilities) as well as static set VCA [11] (the VC is a function of the flow ID). Most other VCA schemes used to avoid deadlock, such as that of O1TURN (where the XY and YX subroutes must be on different VCs), Valiant/ROMM (where each phase has a separate VC set), as well as various adaptive VCA schemes like the turn model [12], are easily implemented as a function of the current and next-hop flow IDs.…”
Section: A Network Modelmentioning
confidence: 74%
“…This directly supports dynamic VCA (all VCs are listed in the result with equal probabilities) as well as static set VCA [12] (the VC is a function of on the flow ID). Most other VCA schemes used to avoid deadlock, such as that of O1TURN (where the XY and YX subroutes must be on different VCs), Valiant/ROMM (where each phase has a separate VC set), as well as various adaptive VCA schemes like the turn model [13], are easily implemented as a function of the current and next-hop flow IDs.…”
Section: A Network Modelmentioning
confidence: 76%
“…Shim et al [19] propose a similar approach that statically binds flows to specific VCs at design time. Both approaches prevent blocked flows from acquiring more than a single VC at each input buffer, but neither limits the amount of buffer space occupied by that VC.…”
Section: Related Workmentioning
confidence: 99%