2012
DOI: 10.1117/12.916614
|View full text |Cite
|
Sign up to set email alerts
|

Source mask optimization methodology (SMO) and application to real full chip optical proximity correction

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3

Citation Types

0
3
0

Year Published

2013
2013
2023
2023

Publication Types

Select...
6
1

Relationship

1
6

Authors

Journals

citations
Cited by 11 publications
(3 citation statements)
references
References 8 publications
0
3
0
Order By: Relevance
“…Tachyon SMO (source-mask optimization) has been a key enabler for the 20 nm node and beyond with 193 nm immersion lithography especially for ASML DOE (diffractive optical element) and FlexRay illumination shape optimization [1,2]. ASML NXE:3300 EUV scanners with a set of standard off-axis illumination pupils have been introduced for the 10 nm node as of 2013 [3,4].…”
Section: Introductionmentioning
confidence: 99%
“…Tachyon SMO (source-mask optimization) has been a key enabler for the 20 nm node and beyond with 193 nm immersion lithography especially for ASML DOE (diffractive optical element) and FlexRay illumination shape optimization [1,2]. ASML NXE:3300 EUV scanners with a set of standard off-axis illumination pupils have been introduced for the 10 nm node as of 2013 [3,4].…”
Section: Introductionmentioning
confidence: 99%
“…Additionally, one of the limitations of this approach is that the cluster number must be set manually before initiating the pattern clustering process. In contrast, the ASML Co. Ltd. (Veldhoven, The Netherlands) pattern selection technique is based on diffraction signatures [18,21]. This method has already been integrated into commercial computational lithography software, Tachyon (Denver, Colorado).…”
Section: Introductionmentioning
confidence: 99%
“…The cost function of SMO typically measures the image fidelity in terms of edge placement errors (EPEs) or the deviation of the printed image from the desired one [4,5], and evaluates the process robustness by depth of focus (DoF) [6], process window or other factors as deemed appropriate [7]. This objective function can also strike a moderate balance between the conflicting optimization performances, such as the small mask error enhancement factor (MEEF) and large DoF [8]. Moreover, source optimization during SMO provides more flexibility regarding both the source profile and its intensity [9,10], improving the process margin on the wafer.…”
Section: Introductionmentioning
confidence: 99%