2014
DOI: 10.1117/12.2047584
|View full text |Cite
|
Sign up to set email alerts
|

EUV source-mask optimization for 7nm node and beyond

Abstract: In this paper we introduce new source-mask co-optimization (SMO) capabilities for EUV with specific support of the details of imaging with NXE:33x0 scanners. New algorithms have been developed that fully exploit the adjustability of the light distribution inside the NXE:33x0 flexible illuminator, FlexPupil. The fast NXE M3D+ model accurately predicts the reflective 3D mask effects and enables novel pupil symmetries and mask defocus optimization. This mitigates the H-V bias, Bossung tilt, and pattern shift caus… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
14
0

Year Published

2014
2014
2022
2022

Publication Types

Select...
5
3

Relationship

1
7

Authors

Journals

citations
Cited by 17 publications
(14 citation statements)
references
References 5 publications
0
14
0
Order By: Relevance
“…In both scanner systems a large degree in flexibility of illumination pupil can be created. SMO maximizes the process window and minimizes the placement errors in the mask design for the optimum illumination source [3]. Pattern placement optimization is done in the design phase as well during the manufacturing phase.…”
Section: Architecture For Across Platform Performance Controlmentioning
confidence: 99%
See 1 more Smart Citation
“…In both scanner systems a large degree in flexibility of illumination pupil can be created. SMO maximizes the process window and minimizes the placement errors in the mask design for the optimum illumination source [3]. Pattern placement optimization is done in the design phase as well during the manufacturing phase.…”
Section: Architecture For Across Platform Performance Controlmentioning
confidence: 99%
“…The SMO optimization flow for EUV is explained in reference [3]. The SMO flow includes illumination source optimization based on real physical pupil designs of NXE:3300B system and the CD uniformity calculation is based on scanner design performance budgets.…”
Section: Printing Of Cut Hole Pattern With Euvmentioning
confidence: 99%
“…4 Reliance on the technique is expected to continue even as next-generation lithography approaches like Extreme Ultra-Violet (EUV) lithography are introduced. 5 Due to the many degrees of freedom involved in the joint optimization, practical SMO implementations require that patterning input targets be sampled using either clips created from design requirements or clips trimmed from a larger design. Spatial extents of these input clips are usually required to be reasonably small, in order to guarantee a reasonable number of variables and a fast solution.…”
Section: Introductionmentioning
confidence: 99%
“…Illumination system with freeform fly's eye to generate pixelated pupil prescribed by source-mask optimization in extreme ultraviolet lithography 1 Introduction Source-mask optimization (SMO) has been a key enabler for 7-nm node and beyond in the extreme ultraviolet (EUV) lithography. 1,2 The pupil predicted by SMO is usually pixelated, with a free choice of intensity per pixel.…”
mentioning
confidence: 99%
“…2. 1 In recent years, the diffractive optical element and mirror array have been used to realize the freeform pixelated pupil in deep ultraviolet lithography. 8,9 For EUV lithography, however, adding additional elements to the illumination system is not advisable since it would greatly reduce the light energy efficiency of the system.…”
mentioning
confidence: 99%