2006
DOI: 10.1016/j.mee.2006.01.079
|View full text |Cite
|
Sign up to set email alerts
|

Silicon etch process options for micro- and nanotechnology using inductively coupled plasmas

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
33
0

Year Published

2008
2008
2024
2024

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 47 publications
(33 citation statements)
references
References 4 publications
0
33
0
Order By: Relevance
“…The chosen power is much lower than what is reported in the literature for similar systems. In comparison, Welch et al [2] report powers in the range 600 − 1200 W 1 and Hung et al [1] of 800 W. According to Henry [13], increasing the power in this regime reduces the etch rate of silicon, while Hung et al [1] report that the etch rate is relatively insensitive to the coil power. These two statements are in disagreement with our experimental results, which show that increasing the coil power increases the etch rate.…”
Section: Effect Of the Icp Parameters On The Etch Rates And Selectivimentioning
confidence: 99%
See 3 more Smart Citations
“…The chosen power is much lower than what is reported in the literature for similar systems. In comparison, Welch et al [2] report powers in the range 600 − 1200 W 1 and Hung et al [1] of 800 W. According to Henry [13], increasing the power in this regime reduces the etch rate of silicon, while Hung et al [1] report that the etch rate is relatively insensitive to the coil power. These two statements are in disagreement with our experimental results, which show that increasing the coil power increases the etch rate.…”
Section: Effect Of the Icp Parameters On The Etch Rates And Selectivimentioning
confidence: 99%
“…It is important to note that SF 6 ratios of 20 to 40 % are needed to obtain significant anisotropy. The optimal values reported in the literature [1,2] depend on the ICP parameters. Our results using a variety of ratios are presented in the following section and in the online supplementary material Figure S-8.…”
Section: Effect Of the Icp Parameters On The Etch Rates And Selectivimentioning
confidence: 99%
See 2 more Smart Citations
“…Recently, processes consisting of electron beam lithography (EBL) followed by wet and dry silicon etching have stimulated a significant amount of research [3][4][5]. In the work discussed here, a simple technique comprising EBL and an optimized chlorine-based inductively coupled plasma (ICP) etch process was developed, which meets the requirement of fabricating the microscale and nanoscale silicon waveguide devices with anisotropic profile and smooth sidewall morphology without any post etch process.…”
Section: Introductionmentioning
confidence: 99%