2020
DOI: 10.1109/access.2020.2980767
|View full text |Cite
|
Sign up to set email alerts
|

SHyLoC 2.0: A Versatile Hardware Solution for On-Board Data and Hyperspectral Image Compression on Future Space Missions

Abstract: In this paper, we present the design, implementation and results of a set of IP cores that perform on-board hyperspectral image compression according to the CCSDS 123.0-B-1 lossless standard, specifically designed to be suited for on-board systems and for any kind of hyperspectral sensor. As entropy coder, the sample-adaptive entropy coder defined in the 123.0-B-1 standard or the low-complexity blockadaptive encoder defined by the CCSDS 121.0-B-2 lossless standard could be used. Both IPs, 123.0-B-1 and 121.0-B… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
19
0

Year Published

2020
2020
2023
2023

Publication Types

Select...
7

Relationship

1
6

Authors

Journals

citations
Cited by 30 publications
(20 citation statements)
references
References 24 publications
0
19
0
Order By: Relevance
“…Although there are some preliminary hardware implementations of both the prediction and the hybrid encoder stages available in the state-of-the-art [12,13], to the best of our knowledge, the solution presented in this work is the first compliant implementation of the standard (i.e., a fully configurable predictor plus the hybrid encoder) on FPGA. The performance of its predecessor, the Issue 1 of the standard [14], has been widely analyzed on different FPGA implementations, targeting different strategies to optimize either the area consumption and the configuration capabilities [15,16], or the throughput by using a single compression instance [17][18][19] or by defining task-parallelism strategies [20,21].…”
Section: Ccsds 1230-b-2 Algorithmmentioning
confidence: 99%
“…Although there are some preliminary hardware implementations of both the prediction and the hybrid encoder stages available in the state-of-the-art [12,13], to the best of our knowledge, the solution presented in this work is the first compliant implementation of the standard (i.e., a fully configurable predictor plus the hybrid encoder) on FPGA. The performance of its predecessor, the Issue 1 of the standard [14], has been widely analyzed on different FPGA implementations, targeting different strategies to optimize either the area consumption and the configuration capabilities [15,16], or the throughput by using a single compression instance [17][18][19] or by defining task-parallelism strategies [20,21].…”
Section: Ccsds 1230-b-2 Algorithmmentioning
confidence: 99%
“…In [20], the CCSDS 1.2.3 standard for compressing hyperspectral images is implemented on Virtex-4QV, achieving real-time compression for sensors such as AVIRIS (680×512 ×224 image), while consuming 1/3 of the chip resources and [14], providing up to 138 and 81 MSamples/s, respectively, for the AVIRIS sensor. In [21], the authors implement a singlechip payload data processing unit on the Virtex-5QV FPGA, integrating both the instrument system supervisor and data processing functions.…”
Section: Related Work a Evaluation Of Space-grade Fpgasmentioning
confidence: 99%
“…The NG-Medium and NG-Large FPGAs have been used for the implementation of HW/SW pipelines for rover localization and mapping [27]. Moreover, in [14], both aforementioned FPGAs have been evaluated for hyperspectral image compression.…”
Section: Related Work a Evaluation Of Space-grade Fpgasmentioning
confidence: 99%
See 1 more Smart Citation
“…For this reason, they are normally characterized by high computational burden, intensive memory requirements and a non-scalable nature. These features prevent their use in power-constrained applications with limited hardware resources, such as on-board compression [26,27].…”
Section: Introductionmentioning
confidence: 99%