2017
DOI: 10.1109/mc.2017.121
|View full text |Cite
|
Sign up to set email alerts
|

Security-Aware 2.5D Integrated Circuit Design Flow Against Hardware IP Piracy

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

3
13
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 26 publications
(16 citation statements)
references
References 0 publications
3
13
0
Order By: Relevance
“…(For our cut sizes on larger benchmarks, refer to Table 7). Regarding PPA, we observe significantly lower costs than [21]. 5 Besides, as with regular SM, their 2.5D scheme is not inherently resilient against malicious end-users, but our 3D scheme is.…”
Section: Comparison With Prior Artmentioning
confidence: 81%
See 2 more Smart Citations
“…(For our cut sizes on larger benchmarks, refer to Table 7). Regarding PPA, we observe significantly lower costs than [21]. 5 Besides, as with regular SM, their 2.5D scheme is not inherently resilient against malicious end-users, but our 3D scheme is.…”
Section: Comparison With Prior Artmentioning
confidence: 81%
“…Also, various studies are hinting at 3D integration for SM, but most have limitations or cover different scenarios. For example, Dofe et al [20] remain on the conceptional level, or Xie et al [21] and Imeson et al [10] consider 2.5D integration where only wires are hidden from the untrusted foundry. We summarize the prior art on 3D SM in Table 1, along with that for 3D LC.…”
Section: Split Manufacturingmentioning
confidence: 99%
See 1 more Smart Citation
“…This idea serves for labelling and authentication of chips (or other goods, for that matter). Loosely related, because without [182] 2.5D IP protection; SM Interposer [82] 2.5D Trojan prevention; SM Interposer [183] F2F IP protection; SM, camouflaging Parts of 3D IC [184] M3D IP protection; camouflaging Whole 3D IC [185] F2F IP protection, Trojan prevention; Only BEOL SM, camouflaging [186] TSV Probing protection; enclosure Whole 3D IC [187,188] TSV Side-channel mitigation; enclosure Whole 3D IC [4] 2.5D Runtime monitoring; separation Interposer the need for nanowires, the authors in [93] proposed the concept of plasmonics-enhanced optical PUFs and provide physical-simulation results and a security analysis.…”
Section: Nanowires and Nwfetsmentioning
confidence: 99%
“…For example, the study [180] remains only on the conceptional level, while the studies [82,182] utilize 2.5D integration with "only" wires being hidden from untrusted facilities. The latter is in principal equivalent to traditional split manufacturing but seems more practical; still, the studies [82,182] report on considerable layout cost. Later on, [183,185,191,192] promoted "native 3D split manufacturing, " i.e., with logic being split across trusted and untrusted facilities.…”
Section: Confidentiality and Integrity Ofmentioning
confidence: 99%