2012
DOI: 10.1145/2366231.2337217
|View full text |Cite
|
Sign up to set email alerts
|

Scale-out processors

Abstract: Scale-out datacenters mandate high per-server throughput to get the maximum benefit from the large TCO investment. Emerging applications (e.g., data serving and web search) that run in these datacenters operate on vast datasets that are not accommodated by on-die caches of existing server chips. Large caches reduce the die area available for cores and lower performance through long access latency when instructions are fetched. Performance on scale-out workloads is maximized through a modestly-sized last-level … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

6
102
0

Year Published

2012
2012
2021
2021

Publication Types

Select...
4
3
1

Relationship

3
5

Authors

Journals

citations
Cited by 92 publications
(108 citation statements)
references
References 24 publications
6
102
0
Order By: Relevance
“…Conversely, the characteristics of scale-out workloads can be effectively leveraged to specialize processors for these workloads in order to gain area and energy efficiency in future servers. An example of such a specialized processor design that matches the needs of scaleout workloads is Scale-Out Processor, 4 which has been shown to improve the system throughput and the overall datacenter cost efficiency by almost an order of magnitude. 5 …”
Section: Inefficient For Running Cloud Workloads They Investigate Thmentioning
confidence: 99%
See 2 more Smart Citations
“…Conversely, the characteristics of scale-out workloads can be effectively leveraged to specialize processors for these workloads in order to gain area and energy efficiency in future servers. An example of such a specialized processor design that matches the needs of scaleout workloads is Scale-Out Processor, 4 which has been shown to improve the system throughput and the overall datacenter cost efficiency by almost an order of magnitude. 5 …”
Section: Inefficient For Running Cloud Workloads They Investigate Thmentioning
confidence: 99%
“…Because the scale-out workloads perform only infrequent communication via the network, there is typically no read-write sharing in the applications; processors can therefore be designed as a collection of core islands using a low-bandwidth interconnect that does not enforce coherence between the islands, eliminating the power associated with the high-bandwidth interconnect as well as the power and area overheads of fine-grained coherence tracking. 4 Off-chip memory buses can be optimized for scale-out workloads by scaling back unnecessary bandwidth for systems with an insufficient number of cores. Memory controllers consume a large fraction of the chip area, and memory buses are responsible for a large fraction of the system power.…”
Section: Bandwidth Inefficienciesmentioning
confidence: 99%
See 1 more Smart Citation
“…An example of an existing scale-out processor design is the Oracle T-series, which features up to 16 cores, 3-6 MB LLC capacities, and a low-latency crossbar interconnect. Extending and formalizing the space of scale-out processors, researchers introduced the Scale-Out Processor (SOP) design methodology [15]. The SOP methodology, which provides an optimization framework for deriving optimal core counts and LLC capacities based on microarchitectural and technology parameters, advocates many cores, modestly-sized LLCs, and low interconnect delays.…”
Section: Introductionmentioning
confidence: 99%
“…The cores are modeled after Cortex-A57, a 3-way Out-of-Order (OoO) core, resembling those used in specialized many-cores for servers [7], [8]. Following the scale-out processor methodology [9], the chip is organized as a set of clusters, which exhibit an optimal ratio between core count and cache size. Although we calculate the optimal ratio as a 16-core cluster with a 4MB Last-Level Cache (LLC), we model 4-core clusters due to a lower simulation turnaround time.…”
Section: B Server Architecturementioning
confidence: 99%