Proceedings of the 2016 Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition (DATE) 2016
DOI: 10.3850/9783981537079_0980
|View full text |Cite
|
Sign up to set email alerts
|

Towards Near-Threshold Server Processors

Abstract: Abstract-The popularity of cloud computing has led to a dramatic increase in the number of data centers in the world. The ever-increasing computational demands along with the slowdown in technology scaling has ushered an era of power-limited servers. Techniques such as near-threshold computing (NTC) can be used to improve energy efficiency in the post-Dennard scaling era. This paper describes an architecture based on the FD-SOI process technology for near-threshold operation in servers. Our work explores the t… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
11
0

Year Published

2017
2017
2020
2020

Publication Types

Select...
4
2
1

Relationship

1
6

Authors

Journals

citations
Cited by 18 publications
(11 citation statements)
references
References 32 publications
(32 reference statements)
0
11
0
Order By: Relevance
“…3) Trade-offs Discussion: As shown by [3], workloads can tolerate low frequencies if only core power is considered, thus enabling NTC operation to reduce core power consumption. However, not all server components scale with the core voltage, shifting the most energy-efficient point to a higher frequency.…”
Section: B Server-level Resultsmentioning
confidence: 99%
See 3 more Smart Citations
“…3) Trade-offs Discussion: As shown by [3], workloads can tolerate low frequencies if only core power is considered, thus enabling NTC operation to reduce core power consumption. However, not all server components scale with the core voltage, shifting the most energy-efficient point to a higher frequency.…”
Section: B Server-level Resultsmentioning
confidence: 99%
“…However, other recent works on processors in FD-SOI demonstrated the near-threshold capabilities of the technology, capable to run a dual-core CortexA9 processor at 1 GHz at the supply voltage of 0.6V [4]. The work presented in [3] was the first one proposing the usage of NTC servers in UTBB FD-SOI technology. Nonetheless, the power model proposed in that work did not include a detailed characterization of the uncore components.…”
Section: Related Work a Technology And Architecturementioning
confidence: 99%
See 2 more Smart Citations
“…The theoretical concept and design issues of optimal low power limits has strong face validity in recent publications such as; thermal aware dynamic voltage frequency scaling for energy consumption reduction [4], optimal combination of supply-body bias voltage to the core and memory for a real micro-controller chip [5], determining a set of supply-body bias voltage combinations to achieve the minimum energy consumption for a target frequency [6], analysis and determination of the best switching management strategy for dynamic set of operating environment in terms of process choices, circuit activity, and temperatures [7], an architecture based on the fully depleted silicon on insulator (FD-SOI) process technology for server operation near threshold region [8], standby leakage power consumption using the body bias and pin reordering technique for nanometer-scale CMOS circuits [9], finally, Adaptive supply and body voltage control for ultra-low power microprocessors using 22-nm technology model with considerable improvement in power and temperatures [10].…”
Section: Introductionmentioning
confidence: 99%