Proceedings of the International Conference on Computer-Aided Design 2018
DOI: 10.1145/3240765.3240843
|View full text |Cite
|
Sign up to set email alerts
|

RouteNet

Abstract: Early routability prediction helps designers and tools perform preventive measures so that design rule violations can be avoided in a proactive manner. However, it is a huge challenge to have a predictor that is both accurate and fast. In this work, we study how to leverage convolutional neural network to address this challenge. The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checki… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
6
0
1

Year Published

2020
2020
2023
2023

Publication Types

Select...
5
2
1

Relationship

0
8

Authors

Journals

citations
Cited by 140 publications
(9 citation statements)
references
References 19 publications
0
6
0
1
Order By: Relevance
“…Las redes se pueden dividir en redes de largo alcance y redes de corto alcance según su HPWL. En (Xie et al, 2018), se muestra que la congestión de enrutamiento tiene una correlación más fuerte con las redes de largo alcance que con las más cortas. en este sentido, también se extrae una función longrangerudy al considerar solo las redes de largo alcance al calcular el volumen total del cable.…”
Section: Características Estimación Probabilística De Congestiónunclassified
“…Las redes se pueden dividir en redes de largo alcance y redes de corto alcance según su HPWL. En (Xie et al, 2018), se muestra que la congestión de enrutamiento tiene una correlación más fuerte con las redes de largo alcance que con las más cortas. en este sentido, también se extrae una función longrangerudy al considerar solo las redes de largo alcance al calcular el volumen total del cable.…”
Section: Características Estimación Probabilística De Congestiónunclassified
“…The work of [33] uses a 3DCNN to model and predict surface deterioration phenomena on metallic materials used in artwork based on previous 3D scans of artificially aged reference samples. In [36], the authors propose a methodology based on convolutional neural networks, called RouteNet that performs preventive measures in early routability prediction so as to avoid design rule violation.…”
Section: Predictive Algorithms In Industrial Processesmentioning
confidence: 99%
“…Deep learning is introduced to provide quick and precise routability prediction because to its great performance in computer vision and other related applications. Prior to completing the routing, [33] forecast the number and position of design rule violations. This work takes advantage of the 2D placement's similarity to the well-studied image classification problem, which can also be represented as an image.…”
Section: Placementmentioning
confidence: 99%
“…This enables them to perform transfer learning from a different domain in addition to using a similar model (CNN). A fully convolutional network (FCN) is proposed by RouteNet [33] to predict the position of DRV hotspots, and a standard CNN to predict the quantity of design rule violations (DRVs) for routability forecast. (Fig.…”
Section: Placementmentioning
confidence: 99%
See 1 more Smart Citation