16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011) 2011
DOI: 10.1109/aspdac.2011.5722264
|View full text |Cite
|
Sign up to set email alerts
|

Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs

Abstract: ABSTRACT3D integration has new manufacturing and design challenges such as timing corner mismatch between tiers and device variation due to Through Silicon Via (TSV) induced stress. Timing corner mismatch between tiers is caused because each tier is manufactured in independent process. Therefore, inter-die variation should be considered to analyze and optimize for paths spreading over several tiers. TSV induced stress is another challenge in 3D Clock Tree Synthesis (CTS). Mobility variation of a clock buffer d… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
32
0

Year Published

2012
2012
2018
2018

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 23 publications
(32 citation statements)
references
References 14 publications
0
32
0
Order By: Relevance
“…Such high quality clock trees fully utilizing 3D design space can span entire dies as shown in Fig. 1 [7][8][9][10][11][12][13][14]. To safely apply highly optimized 3D clock trees distributed on multiple dies to a main production process of 3D ICs, we need to consider a new 3D process variation issue which is a special item distinguished from the conventional process variation for 2D clock trees.…”
Section: D Clock Tree and On-package Variationmentioning
confidence: 99%
See 3 more Smart Citations
“…Such high quality clock trees fully utilizing 3D design space can span entire dies as shown in Fig. 1 [7][8][9][10][11][12][13][14]. To safely apply highly optimized 3D clock trees distributed on multiple dies to a main production process of 3D ICs, we need to consider a new 3D process variation issue which is a special item distinguished from the conventional process variation for 2D clock trees.…”
Section: D Clock Tree and On-package Variationmentioning
confidence: 99%
“…3D CTS methodology with pre-bond testability is enabled by the work [11] and optimized by the work [12]. For the process variation, Xu et al [13] analyzed the process variation induced clock skew for scaled 2D and 3D ICs and Yang et al [14] proposed a process variation aware 3D CTS methodology. However, the work in [13] is only limited to the simple H-tree which is only practical to the regularly placed sink elements.…”
Section: Introductionmentioning
confidence: 99%
See 2 more Smart Citations
“…The energy wasted by the static EC becomes more and more significant, when the process technology is scaled down [61]. 3) Thirdly, the high complexity of the conventional architecture imposed by its circuits dedicated to the different tasks increases the requirements imposed on the clock tree and on the buffers for multiple input signal loads [62]. Hence, this may impose a significant additional energy dissipation on the decoder.…”
Section: A Data Path Considerationsmentioning
confidence: 99%