Advances in Resist Materials and Processing Technology XXVI 2009
DOI: 10.1117/12.814708
|View full text |Cite
|
Sign up to set email alerts
|

Reworkable spin-on trilayer materials: optimization of rework process and solutions for manufacturability

Abstract: Trilayer stacks with alternating etch selectivity were developed and extensively investigated for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many different behaviors were observed in comparison to c… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2010
2010
2016
2016

Publication Types

Select...
4
3

Relationship

2
5

Authors

Journals

citations
Cited by 7 publications
(7 citation statements)
references
References 0 publications
0
7
0
Order By: Relevance
“…Also, piranha alone is not effective to remove Si-HM. Post-removal residue such as SiO 2 particles adheres tenaciously to the wafer surface causing defects and yield loss [4,5]. In addition the CUL film (200nm FT) after HM (30 nm) strip was found to have low defects and smooth surface (Figure 4).…”
Section: Wet Removal Of Metallic Hmmentioning
confidence: 99%
See 1 more Smart Citation
“…Also, piranha alone is not effective to remove Si-HM. Post-removal residue such as SiO 2 particles adheres tenaciously to the wafer surface causing defects and yield loss [4,5]. In addition the CUL film (200nm FT) after HM (30 nm) strip was found to have low defects and smooth surface (Figure 4).…”
Section: Wet Removal Of Metallic Hmmentioning
confidence: 99%
“…Post-removal residue such as SiO 2 particles adheres tenaciously to the wafer surface. Such issues are challenging problems for manufacturability as they reduce the throughput and yield due to high defects [4,5].…”
Section: Introductionmentioning
confidence: 99%
“…The stable metal oxide formulations form grain-free oxide films with high metal content after curing and provide excellent etch resistance, easy wet removal, low defects, no metal contamination and good resistance to moisture. [2][3][4][5][6][7] Based on TGA calcination test and elemental analysis, metal oxide content of the films ranges from 20% to 90% of the total weight depending on the metal element, the chemical structure and the processing conditions. Figure 2 shows the coating quality of high metal content film of ZrOx-2 at 300° C/60s baking.…”
Section: Coating Properties Of Mhm Filling Materialsmentioning
confidence: 99%
“…Such issues are challenging problems for manufacturability as they reduce the throughput and yield due to high defects [2,3]. Future generation of lithography processes require hard masks with higher resistance to plasma etch and materials that can be easily wet stripped after pattern transfer process to prevent dry etch damage to the substrate underneath [4,5].…”
Section: Introductionmentioning
confidence: 99%
“…Again this can be done either CVD process or spin-coating but the spin-coating offers the advantages described above. However, SiBARCs are not completely wet-strippable resulting in high post strip defects [5,6]. Further CVD deposited SiON has compatibility issues (footing) with chemically amplified resists due to its porous and basic substrate properties.…”
Section: Introductionmentioning
confidence: 99%