2014
DOI: 10.2494/photopolymer.27.503
|View full text |Cite
|
Sign up to set email alerts
|

Progress in Spin-on Hard Mask Materials for Advanced Lithography

Abstract: Hard masks used in lithography processes play a vital role in pattern transfer to the desired substrate. Hard mask materials can be categorized into organic and inorganic types. Examples of organic type hard masks include amorphous carbon, organo siloxane based materials with reflection control properties. These organic hard masks are deposited either by CVD process or spin-on processes. SiN, SiON and TiN are some examples of inorganic type hard masks and typically these hard masks are deposited through CVD pr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
10
0

Year Published

2016
2016
2023
2023

Publication Types

Select...
7
1

Relationship

2
6

Authors

Journals

citations
Cited by 17 publications
(10 citation statements)
references
References 12 publications
0
10
0
Order By: Relevance
“…Previously, synthesis and performance of high carbon content polymers for advanced SOC were reported, and pattern transfer of resist into substrate down to CD 40nm were demonstrated without pattern deformation or wiggling [1,2]. Figure 1 compares planarization of topography by single coat-etch and multiple coat processes.…”
Section: Introductionmentioning
confidence: 98%
See 1 more Smart Citation
“…Previously, synthesis and performance of high carbon content polymers for advanced SOC were reported, and pattern transfer of resist into substrate down to CD 40nm were demonstrated without pattern deformation or wiggling [1,2]. Figure 1 compares planarization of topography by single coat-etch and multiple coat processes.…”
Section: Introductionmentioning
confidence: 98%
“…High thermal stability is necessary to avoid outgas contamination during vacuum process without temperature restriction [1,2]. As the CD size decreases in the advanced nodes such as 2Xnm and beyond along with multiple patterning technology, hard masks with good planarization and filling properties are needed to control the CD uniformity.…”
Section: Introductionmentioning
confidence: 99%
“…Future generation of lithography processes require hard masks with higher resistance to plasma etch and materials that can be easily wet stripped after pattern transfer process to prevent dry etch damage to the substrate underneath [4,5]. Previously we reported that AZ® Spin-on metal hard mask (MHM) materials are useful for generating metal oxide containing underlayers [4][5][6][7][8][9]. The materials demonstrated good long term shelf life and pot-life stability.…”
Section: Introductionmentioning
confidence: 99%
“…The underlayer coating is strippable in chemical solutions after curing of the film to form metal oxide. The specially designed underlayers can be used to significantly improve photosensitivity of EUV photoresist performance [8,10]. The metal oxide films have excellent dry etch resistance and/or good gap fill performances so that they can be used as a hard mask to replace silicon underlayers in processes, such as trilayer or image reversal processes [4,5].…”
Section: Introductionmentioning
confidence: 99%
“…A more pragmatic approach is spin-on metals or metal oxides of interest are commonly generated by a sol-gel process, where the precursor solution is applied by spin-coating and heated to high temperatures [1,2]. The sol-gel process is terminated with ligands to provide metal oxide nanoparticles [3,4].…”
Section: Introductionmentioning
confidence: 99%