2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2017
DOI: 10.1109/iccad.2017.8203796
|View full text |Cite
|
Sign up to set email alerts
|

Rethinking split manufacturing: An information-theoretic approach with secure layout techniques

Abstract: Split manufacturing is a promising technique to defend against fab-based malicious activities such as IP piracy, overbuilding, and insertion of hardware Trojans. However, a network flow-based proximity attack, proposed by Wang et al. (DAC'16) [1], has demonstrated that most prior art on split manufacturing is highly vulnerable. Here in this work, we present two practical layout techniques towards secure split manufacturing: (i) gate-level graph coloring and (ii) clustering of same-type gates. Our approach sho… Show more

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

2
32
0

Year Published

2019
2019
2021
2021

Publication Types

Select...
5
2
2

Relationship

4
5

Authors

Journals

citations
Cited by 31 publications
(34 citation statements)
references
References 16 publications
2
32
0
Order By: Relevance
“…Overall, while some BEOL wires can be correctly inferred, proximity attacks are limited, especially when split at lower layers. These findings are also confirmed by prior art [82], [85]- [88]. Finally, also note that only we as designers, having full access to the layout, can evaluate the attack, whereas any fab-based adversary can only run the attack for a "best guess."…”
Section: Gshe Security Primitive: Protecting the Design Ipsupporting
confidence: 69%
“…Overall, while some BEOL wires can be correctly inferred, proximity attacks are limited, especially when split at lower layers. These findings are also confirmed by prior art [82], [85]- [88]. Finally, also note that only we as designers, having full access to the layout, can evaluate the attack, whereas any fab-based adversary can only run the attack for a "best guess."…”
Section: Gshe Security Primitive: Protecting the Design Ipsupporting
confidence: 69%
“…Therefore, an attacker requires further effort and know-how before he/she can obtain a complete netlist. In any case, attacks which can achieve 100% correctness when inferring all BEOL wires of industrial, largescale designs, possibly even with some placement-or routinglevel perturbations introduced for protection (e.g., see [39]- [42]), are yet to be demonstrated.…”
Section: A Split Manufacturingmentioning
confidence: 99%
“…Various techniques have been proposed to safeguard FEOL layouts against proximity attacks, e.g., [77,[81][82][83][86][87][88][89][90][91]. They can be categorized into (i) placement-centric, (ii) routing-centric, and (iii) both placement-and routing-centric defenses.…”
Section: Split Manufacturing Schemes and Attacksmentioning
confidence: 99%
“…Among others, Wang et al [82] and Sengupta et al [87] propose placement perturbation. Layout randomization is most secure, especially when splitting at the first metal layer, as shown by Sengupta et al [87]. However, this technique has limited scalability and significant layout cost for larger designs.…”
Section: Split Manufacturing Schemes and Attacksmentioning
confidence: 99%