Our system is currently under heavy load due to increased usage. We're actively working on upgrades to improve performance. Thank you for your patience.
2013
DOI: 10.1016/j.jcss.2012.09.006
|View full text |Cite
|
Sign up to set email alerts
|

Reliable energy-aware application mapping and voltage–frequency island partitioning for GALS-based NoC

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
5
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 13 publications
(5 citation statements)
references
References 39 publications
0
5
0
Order By: Relevance
“…The mapping problem of a real-time application with stochastic execution times onto multicore systems is formulated in [102]. The tasks are scheduled on the processors and also allocate the voltage frequency stages for Processing Elements (PEs) with Mixed Integer Linear Programming (MILP) in the GALS-based NoC.…”
Section: Energy-aware Schemesmentioning
confidence: 99%
“…The mapping problem of a real-time application with stochastic execution times onto multicore systems is formulated in [102]. The tasks are scheduled on the processors and also allocate the voltage frequency stages for Processing Elements (PEs) with Mixed Integer Linear Programming (MILP) in the GALS-based NoC.…”
Section: Energy-aware Schemesmentioning
confidence: 99%
“…Several investigations have also been conducted into developing energy-aware task scheduling algorithms to optimize energy consumption by applying Dynamic Voltage Scaling (DVS) technique [13][14][15][16]. In these works, the authors determined task-slack time by considering critical and non-critical paths in job DAGs.…”
Section: Related Workmentioning
confidence: 99%
“…Meanwhile, there is a considerable amount of research work that focuses on reducing power consumption in their proposed bi-objective task scheduling models by minimizing the value of their developed predefined power consumption objective functions for multi-core processors and cloud environment [11,12]. In addition, several studies have been undertaken in the area of energy-aware task scheduling by applying the Dynamic Voltage Frequency Scaling (DVFS) technique [13][14][15][16].…”
Section: Introductionmentioning
confidence: 99%
“…The VFI based NoC-MPSoC (VFI-NoC-HMPSoC) is an ideal choice for data extensive applications due to its higher throughput, lower complexity, and superior energyefficiency Lackey et al (2002). VFI-MPSoCs require lower number of multiple clock first-in-first-out (MCFIFO) and voltage level converters (VLCs) Mahabadi et al (2013). Green computing in modern embedded systems is a challenging facet because higher energy consumption reduces the lifetime of an edge-device in IoT and causes an increased carbon dioxide CO 2 emission i.e.…”
Section: Introductionmentioning
confidence: 99%