2007
DOI: 10.1117/12.746986
|View full text |Cite
|
Sign up to set email alerts
|

Reduction of layout complexity for shorter mask write-time

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2011
2011
2012
2012

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(2 citation statements)
references
References 0 publications
0
2
0
Order By: Relevance
“…[4] SMO Table 3: Assessment of the trade-off between shout count and mask EPE for various global solution approaches. [8] Figure 11: Shot count reduction example for different global optimization solutions [7] Figure 12: EPE and process window measurements for different global optimization solutions. figure 4 a wafer verification step is added to the main mask writer flow.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…[4] SMO Table 3: Assessment of the trade-off between shout count and mask EPE for various global solution approaches. [8] Figure 11: Shot count reduction example for different global optimization solutions [7] Figure 12: EPE and process window measurements for different global optimization solutions. figure 4 a wafer verification step is added to the main mask writer flow.…”
Section: Discussionmentioning
confidence: 99%
“…In this case any changes to the output layout are intrinsically verified against the tolerances required by the litho process. The OPC tools referenced in this study (Calibre OPCpro and Calibre nmOPC) offer two main usercontrolled options to reduce shot count [8,9] : 1. Jog-smoothing -the alignment of adjacent fragments to eliminate vertices prior to the final iterations 2.…”
Section: Optimized Opc Outputmentioning
confidence: 99%