Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture 2009
DOI: 10.1145/1669112.1669137
|View full text |Cite
|
Sign up to set email alerts
|

Reducing peak power with a table-driven adaptive processor core

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
29
0

Year Published

2010
2010
2023
2023

Publication Types

Select...
4
4
2

Relationship

0
10

Authors

Journals

citations
Cited by 44 publications
(29 citation statements)
references
References 31 publications
0
29
0
Order By: Relevance
“…Peak power dissipation is important for processor design since the thermal budget of processor, cooling cost, power supply cost and packaging cost depend on the processor's peak power dissipation [23]. We now repeat the search (for the preferred core modes) but with a limit on the power budget.…”
Section: Power Constrained Core Selectionmentioning
confidence: 99%
“…Peak power dissipation is important for processor design since the thermal budget of processor, cooling cost, power supply cost and packaging cost depend on the processor's peak power dissipation [23]. We now repeat the search (for the preferred core modes) but with a limit on the power budget.…”
Section: Power Constrained Core Selectionmentioning
confidence: 99%
“…While hardware-enforced power bounds cannot yet be applied to individual cores, Satori and Kumar's work shows demonstrated that control at this level of granularity allowed a larger number of cores to be placed on a processor [17]. Follow-on work explored hierarchical, tabledrivent and gradient-ascent techniques for power scheduling that mitigated power bound violations [18], [12].…”
Section: Related Workmentioning
confidence: 99%
“…Server hardware also comprises of power management features that can be controlled by frequency/voltage scaling and proactive tuning methodologies [15]- [18]. Memory subsystem…”
Section: B Platform Telemetry Abstraction Modelmentioning
confidence: 99%