2003
DOI: 10.1007/s00530-003-0090-7
|View full text |Cite
|
Sign up to set email alerts
|

Reduced energy decoding of MPEG streams

Abstract: Long battery life and high performance multimedia decoding are competing design goals for portable appliances. For a target level of QoS, the achievable battery life can be increased by dynamically adjusting the supply voltage throughout execution. In this paper, an efficient offline scheduling algorithm is proposed for preprocessing stored MPEG audio and video streams. It computes the order and voltage settings at which the appliance's CPU decodes the frames, reducing energy consumption without violating timi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
34
0

Year Published

2003
2003
2016
2016

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 33 publications
(34 citation statements)
references
References 21 publications
0
34
0
Order By: Relevance
“…In particular, DVS is suitable for eliminating idle times during low workload periods. Recently, researchers have attempted to apply DVS to video decoding to reduce power [18,17,21,19,24,33].…”
Section: Dynamic Voltage Scaling (Dvs)mentioning
confidence: 99%
See 3 more Smart Citations
“…In particular, DVS is suitable for eliminating idle times during low workload periods. Recently, researchers have attempted to apply DVS to video decoding to reduce power [18,17,21,19,24,33].…”
Section: Dynamic Voltage Scaling (Dvs)mentioning
confidence: 99%
“…DVS has been proposed as a mean for a processor to deliver high performance when required, while significantly reducing power consumption during low workload periods [4,9,10,[12][13][14][15][16][17][18][19][20][21][22][23][24]33]. The advantage of DVS can be observed from the power consumption characteristics of digital static CMOS circuits [21] and the clock frequency equation [24]:…”
Section: Background On Dynamic Voltage Scaling (Dvs)mentioning
confidence: 99%
See 2 more Smart Citations
“…For instance, at the hardware level, processors can employ intelligent power management techniques that monitor the CPU usage and dynamically vary the CPU clock frequency based on the observed load [9,15]. A recent study has also proposed offline processing of MPEG video streams to determine the CPU voltage settings for each frame during decode time-the techniques lowers energy usage by choosing the smallest feasible CPU voltage setting for each frame so as to meet real-time decoding constraints [13]. At the operating system level, recent studies have investigated intelligent CPU scheduling techniques that lower the energy required to schedule a set a tasks [2,6].…”
Section: Related Workmentioning
confidence: 99%