2014 IEEE International Symposium on Circuits and Systems (ISCAS) 2014
DOI: 10.1109/iscas.2014.6865693
|View full text |Cite
|
Sign up to set email alerts
|

Reconfigurable DSP block design for dynamically reconfigurable architecture

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Year Published

2016
2016
2017
2017

Publication Types

Select...
2
1

Relationship

1
2

Authors

Journals

citations
Cited by 3 publications
(4 citation statements)
references
References 8 publications
0
4
0
Order By: Relevance
“…The current fixed-precision DSP block [11] incorporated in the NATURE architecture is composed of two 16-bit pre-adders, a 16-bit Wallace tree multiplier and a 32-bit ALU unit that can perform addition, subtraction, 16-bit barrel shifting, and bitwise logical operations. The submodules form each stage of the three stage pipeline in the DSP block, which also incorporates multiple output registers to store the final result.…”
Section: Background and Related Workmentioning
confidence: 99%
See 3 more Smart Citations
“…The current fixed-precision DSP block [11] incorporated in the NATURE architecture is composed of two 16-bit pre-adders, a 16-bit Wallace tree multiplier and a 32-bit ALU unit that can perform addition, subtraction, 16-bit barrel shifting, and bitwise logical operations. The submodules form each stage of the three stage pipeline in the DSP block, which also incorporates multiple output registers to store the final result.…”
Section: Background and Related Workmentioning
confidence: 99%
“…DSP Block Architecture: To make effective use of our fracturable computational path, we have also defined an enhanced DSP block architecture, based on the architecture in [11]. Fig.…”
Section: Background and Related Workmentioning
confidence: 99%
See 2 more Smart Citations