2016
DOI: 10.1007/s00034-016-0445-x
|View full text |Cite
|
Sign up to set email alerts
|

Fracturable DSP Block for Multi-context Reconfigurable Architectures

Abstract: Multi-context architectures like NATURE enable low-power applications to leverage fast context switching for improved energy efficiency and lower area footprint. The NATURE architecture incorporates 16-bit reconfigurable DSP blocks for accelerating arithmetic computations, however, their fixed precision prevents efficient re-use in mixed-width arithmetic circuits. This paper presents an improved DSP block architecture for NATURE, with native support for temporal folding and run-time fracturability. The propose… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2018
2018
2021
2021

Publication Types

Select...
2
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(1 citation statement)
references
References 12 publications
0
1
0
Order By: Relevance
“…In embedded computing systems, DSP (Digital Signal Processor) slices inside FPGAs (Field Programmable Gate Arrays), are well-known as one of the most precious and limited resources [1]- [3]. Designers always expect to use the limited DSP resource to accomplish as much work as possible in a given time [4].…”
Section: Introductionmentioning
confidence: 99%
“…In embedded computing systems, DSP (Digital Signal Processor) slices inside FPGAs (Field Programmable Gate Arrays), are well-known as one of the most precious and limited resources [1]- [3]. Designers always expect to use the limited DSP resource to accomplish as much work as possible in a given time [4].…”
Section: Introductionmentioning
confidence: 99%