Extreme Ultraviolet (EUV) Lithography XII 2021
DOI: 10.1117/12.2583983
|View full text |Cite
|
Sign up to set email alerts
|

Progress in EUV-interference lithography resist screening towards the deployment of high-NA lithography

Abstract: The development of EUV resists is one of the major challenges for the deployment of high-NA EUV lithography , which is on the roadmap for high-volume manufacturing of future semiconductor technology nodes. Resist performance is admittedly governed by a resolution-roughness-sensitivity (RLS) tradeoff. This study reports on the EUV resist progress achieved during the last year in the framework of the resist screening program by PSI and ASM L. An extensive performance characterization of different resists was car… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
15
0

Year Published

2021
2021
2022
2022

Publication Types

Select...
3
3

Relationship

1
5

Authors

Journals

citations
Cited by 17 publications
(15 citation statements)
references
References 13 publications
0
15
0
Order By: Relevance
“…But some recent papers have shown quite spectacular improvements in resists for particular applications or particular imaging conditions. 20 Part of this dichotomy may be because there two classes of EUV resists in current use. There are chemically amplified resists that use mostly or all organic chemistry and there are mostly inorganic resists that use metal oxides as the key EUV imaging component.…”
Section: Stochasticsmentioning
confidence: 99%
“…But some recent papers have shown quite spectacular improvements in resists for particular applications or particular imaging conditions. 20 Part of this dichotomy may be because there two classes of EUV resists in current use. There are chemically amplified resists that use mostly or all organic chemistry and there are mostly inorganic resists that use metal oxides as the key EUV imaging component.…”
Section: Stochasticsmentioning
confidence: 99%
“…As the industry prepares to move toward the next generation of EUV lithography (EUVL) and beyond the 5-nm node, demand for thinner resist with high performance is continuously growing. [3][4][5][6] However, thinning of traditional, spin-coated polymer-based resists alone would not address challenges related to materials sensitivity and the pattern transfer process for the next generation EUVL. 7 A solution to such shortcomings is to incorporate high-EUV absorbing inorganic elements into the organic matrix, forming hybrid materials, which help enhance the sensitivity and mechanical strength of photoresists.…”
Section: Introductionmentioning
confidence: 99%
“…The current road map 3 indicates that over the next decade the need for nanoscale molecular characterization will become acutely important due to the introduction of high numerical aperture extreme ultraviolet, EUV, resist patterning, which is capable of producing patterns with sub-10 nm half pitches. [4][5][6][7] In these tiny features, two types of variations affect the device quality: shot noise during irradiation and chemical variability in the resist. [8][9][10] The latter has been generally perceived as a "black box" as it was difficult or impossible to track the molecular composition at the nanoscale.…”
Section: Introductionmentioning
confidence: 99%