2015
DOI: 10.1063/1.4927731
|View full text |Cite
|
Sign up to set email alerts
|

Profile simulation model for sub-50 nm cryogenic etching of silicon using SF6/O2 inductively coupled plasma

Abstract: Cryogenic etching of silicon is a route to high aspect ratio silicon features with high mask selectivity and smooth sidewalls. These benefits have been realized even down to 10 nm half-pitch features. In this work, we develop a semi-empirical simulation model to understand mechanisms responsible for nanoscale profile evolution during plasma etching of silicon in SF6/O2 chemistry at cryogenic temperatures. The model parameters are first calibrated to the etching equipment using features from 500 nm to 2 μm. Usi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
10
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
9

Relationship

1
8

Authors

Journals

citations
Cited by 27 publications
(10 citation statements)
references
References 54 publications
(90 reference statements)
0
10
0
Order By: Relevance
“…Also, (d) it can be operated under ambient conditions as well as in vacuum. It can be used in mix-and-match lithography for high-throughput, e.g., for novel electronic devices, in particular in combination with cryogenic plasma etching, offering anisotropic and high resolution, and selective pattern transfer [ 163 , 181 ]. Scanning proximal tips can be employed as mechanical, thermal, chemical and/or electric sources to initiate and perform various physical or chemical lithographic processes.…”
Section: Reviewmentioning
confidence: 99%
“…Also, (d) it can be operated under ambient conditions as well as in vacuum. It can be used in mix-and-match lithography for high-throughput, e.g., for novel electronic devices, in particular in combination with cryogenic plasma etching, offering anisotropic and high resolution, and selective pattern transfer [ 163 , 181 ]. Scanning proximal tips can be employed as mechanical, thermal, chemical and/or electric sources to initiate and perform various physical or chemical lithographic processes.…”
Section: Reviewmentioning
confidence: 99%
“…The cryogenic etching of silicon has been investigated by Dussert and coworkers, 128,129) and Ishchuk et al 130) However, a fundamental understanding of the cryogenic etching process with plasmas containing SF 6 =O 2 and C 4 F 8 has not yet been obtained. Specifically, the plasma behavior and its interaction with the surface to improve cryogenic etching need to be investigated.…”
Section: Ion Trajectories In High-aspect Ratio Etchingmentioning
confidence: 99%
“…This method uses low temperatures (typically below − 100°C) at which a passivating layer of SiO x F y is formed. This enables a highly anisotropic etching in the vertical direction that depends on the SF 6 :O 2 ratio and the temperature 46 . This is of high interest for the fabrication of masters for HAR nanostructures, including the PNF with its nanoridges along with micro-sized multimode waveguides.…”
Section: Silicon-based Masters and Mouldsmentioning
confidence: 99%