2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC) 2020
DOI: 10.1109/asp-dac47756.2020.9045574
|View full text |Cite
|
Sign up to set email alerts
|

PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network

Abstract: IR drop is a fundamental constraint required by almost all chip designs. However, its evaluation usually takes a long time that hinders mitigation techniques for fixing its violations. In this work, we develop a fast dynamic IR drop estimation technique, named PowerNet, based on a convolutional neural network (CNN). It can handle both vector-based and vectorless IR analyses. Moreover, the proposed CNN model is general and transferable to different designs. This is in contrast to most existing machine learning … Show more

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
57
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
4
1
1

Relationship

0
6

Authors

Journals

citations
Cited by 62 publications
(57 citation statements)
references
References 5 publications
0
57
0
Order By: Relevance
“…The cumulative current of each branch in the PG network is the direct cause of dynamic IR-drop. However, state-of-the-art dynamic IR-drop prediction works [6,7] use only the current/power of individual cells as features for training without considering how cumulative current flows through the PG network. Therefore, it is hard for their models to learn the relationship between the raw data and the IR-drop.…”
Section: Dynamic Ir-drop Predictionmentioning
confidence: 99%
See 4 more Smart Citations
“…The cumulative current of each branch in the PG network is the direct cause of dynamic IR-drop. However, state-of-the-art dynamic IR-drop prediction works [6,7] use only the current/power of individual cells as features for training without considering how cumulative current flows through the PG network. Therefore, it is hard for their models to learn the relationship between the raw data and the IR-drop.…”
Section: Dynamic Ir-drop Predictionmentioning
confidence: 99%
“…When cell is placed at a specific row, the aligned PG rails are determined accordingly. Constraint (7) ensures that when two single row-height cells come from the same double rowheight cell, their power pins should be aligned to the same rail. We also set the maximum allowable vertical movement to avoid timing degradation and thus can remove variables of zero value from Constraints (2) to (7).…”
Section: Ilp-based Peak Current Minimization (Vertical Movement)mentioning
confidence: 99%
See 3 more Smart Citations