2009
DOI: 10.1145/1562514.1562523
|View full text |Cite
|
Sign up to set email alerts
|

Power-delay optimization in VLSI microprocessors by wire spacing

Abstract: The problem of optimal space allocation among interconnect wires in a VLSI layout, in order to minimize the switching power consumption and the average signal delay, is addressed in this article. We define a Weighted Power-Delay Sum (WPDS) objective function and derive necessary and sufficient conditions for the existence of optimal interwire space allocation, based on the notion of capacitance density. At the optimum, every wire must be in equilibrium of its line-to-line weighted capacitance density on its tw… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2010
2010
2014
2014

Publication Types

Select...
3
2
1

Relationship

2
4

Authors

Journals

citations
Cited by 8 publications
(7 citation statements)
references
References 25 publications
0
7
0
Order By: Relevance
“…Delay and power models in (2.3) and (2.4) are commonly used in the literature [6], and the parameters in their expressions are not subject to optimization. The total sum of delays, maximal delay and total interconnect power consumption are given respectively by:…”
Section: Delay and Power Modeling Of Interconnects In A Bundlementioning
confidence: 99%
See 1 more Smart Citation
“…Delay and power models in (2.3) and (2.4) are commonly used in the literature [6], and the parameters in their expressions are not subject to optimization. The total sum of delays, maximal delay and total interconnect power consumption are given respectively by:…”
Section: Delay and Power Modeling Of Interconnects In A Bundlementioning
confidence: 99%
“…Shifting wires in one layer doesn't affect the spacing and width of the orthogonal wires in the layers above it and below it. The length changes of wires in layers above and below of optimized layer is negligible for all practical cases [6]. Until recently the sizes of interconnects were allowed to change continuously and the implied power-delay optimal tradeoff could be formulated as a convex programming problem, for which classical search algorithms are applicable [7].…”
Section: Introductionmentioning
confidence: 99%
“…We showed how a DP algorithm finds the power-delay Pareto curve [24] representing optimal design. Some papers proposed to minimize a weighted sum of the power and delay [9] or minimize a product of their powers [23]. It is a straightforward consequence that any two-variable function that is monotonic increasing in any of its variables will achieve its minimum at a point of the powerdelay shape-function.…”
Section: Size Allocation As a Sequential Decision Problemmentioning
confidence: 99%
“…Simultaneous wire sizing and spacing is effective because wire-towire capacitances, which are the dominant part of interconnect capacitance [11], are very sensitive to inter-wire spacing. Several interconnect resizing algorithms were proposed to increase clock frequency [3] [4] [5] [6], to reduce dynamic power [7] [8], and to maintain some tradeoff between both [9]. Most of the techniques assume that interconnect width and space can vary in a continuous range allowed by design rules.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation