Proceedings of the 2003 ACM/SIGDA Eleventh International Symposium on Field Programmable Gate Arrays 2003
DOI: 10.1145/611817.611845
|View full text |Cite
|
Sign up to set email alerts
|

Post-placement C-slow retiming for the xilinx virtex FPGA

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
25
0

Year Published

2004
2004
2020
2020

Publication Types

Select...
4
3
2

Relationship

0
9

Authors

Journals

citations
Cited by 42 publications
(25 citation statements)
references
References 6 publications
0
25
0
Order By: Relevance
“…The second assumption allows us to use high-latency pipelined functional units to achieve high clock rates while still achieving high throughput, by using the C-Slow approach [Weaver et al 2003]. …”
Section: Mapping Tree-based Models To Hardwarementioning
confidence: 99%
“…The second assumption allows us to use high-latency pipelined functional units to achieve high clock rates while still achieving high throughput, by using the C-Slow approach [Weaver et al 2003]. …”
Section: Mapping Tree-based Models To Hardwarementioning
confidence: 99%
“…Works such as [15] and [8] have attempted to address FPGA-specific concerns. [15] suggests a two-phase approach.…”
Section: Previous Cad Solutionsmentioning
confidence: 99%
“…[15] suggests a two-phase approach. The authors begin with a full simulated annealing placement, but then retiming is performed without any restrictions on the number of registers that can be placed on a given link.…”
Section: Previous Cad Solutionsmentioning
confidence: 99%
“…Multiple simulation paths can then be scheduled in a C-Slow fashion [4], with as many paths as can be accommodated by the pipelined update function proceeding in parallel. As states exit the transition function pipeline, they can be checked against the termination condition.…”
Section: Design Flowmentioning
confidence: 99%