1997
DOI: 10.1007/bf02766812
|View full text |Cite
|
Sign up to set email alerts
|

Plasma etching of III–V semiconductors in BCl3 chemistries: Part I: GaAs and related compounds

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
9
0

Year Published

1999
1999
2024
2024

Publication Types

Select...
7
1
1

Relationship

0
9

Authors

Journals

citations
Cited by 21 publications
(9 citation statements)
references
References 22 publications
0
9
0
Order By: Relevance
“…Most of the studies of anisotropic GaP dry-etching in the literature have focused on increasing the etch rate and maintaining a smooth top-surface morphology [30]- [37]. In these studies, either chlorine-containing species, such as Cl 2 or BCl 3 [31], [35], [37], [38], or mixtures of H 2 and CH 4 [32], [34], or a combination of both [36] have been used. Inclusion of Ar and N 2 , gases which are expected to contribute more to physical as opposed to chemical etching, has also been investigated [31], [35], [37], [39].…”
Section: B Gap Patterningmentioning
confidence: 99%
“…Most of the studies of anisotropic GaP dry-etching in the literature have focused on increasing the etch rate and maintaining a smooth top-surface morphology [30]- [37]. In these studies, either chlorine-containing species, such as Cl 2 or BCl 3 [31], [35], [37], [38], or mixtures of H 2 and CH 4 [32], [34], or a combination of both [36] have been used. Inclusion of Ar and N 2 , gases which are expected to contribute more to physical as opposed to chemical etching, has also been investigated [31], [35], [37], [39].…”
Section: B Gap Patterningmentioning
confidence: 99%
“…Boron trichloride (BCl 3 ) is widely used in the plasma etching of semiconductors and metals [1][2][3][4][5] and also to dope or deposit boron [6]. A recent report from the National Research Council addressing the "Database Needs for Modeling and Simulation of Plasma Processing" [7] highlighted the lack of reliable partial ionization cross-sections (PICSs) for industrially important molecules such as BCl 3 .…”
Section: Introductionmentioning
confidence: 99%
“…In this study we used different etch gases under varying etch conditions. Etching of GaAs is usually done in chlorinebased plasma chemistry, most often using Cl 2 and/or BCl 3 [6][7][8][9][10][11]. BCl 3 is known to be an effective getter of water vapor and will immediately remove the native oxide layer on the GaAs surface, which makes BCl 3 suitable for reproducible GaAs etching.…”
Section: ã Etching Experimentsmentioning
confidence: 99%