2019
DOI: 10.1116/1.5090606
|View full text |Cite
|
Sign up to set email alerts
|

Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures: A computational investigation

Abstract: Plasma etching of high aspect ratio (HAR) features, typically vias, is a critical step in the fabrication of high capacity memory. With aspect ratios (ARs) exceeding 50 (and approaching 100), maintaining critical dimensions (CDs) while eliminating or diminishing twisting, contact-edge-roughening, and aspect ratio dependent etching (ARDE) becomes challenging. Integrated reactor and feature scale modeling was used to investigate the etching of HAR features in SiO 2 with ARs up to 80 using tri-frequency capacitiv… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

1
52
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
6
4

Relationship

0
10

Authors

Journals

citations
Cited by 76 publications
(54 citation statements)
references
References 57 publications
1
52
0
Order By: Relevance
“…As the semiconductor device size has decreased to nanoscale due to the high integration of the circuit, the critical dimension has decreased to a few nanometers and the device structure has changed from 2D to 3D. To fabricate these devices, etching technologies such as pulsed plasma etching technology and multiple patterning technology are widely investigated [1][2][3][4][5][6][7][8][9] and applied using two different plasma etching systems, namely the capacitively coupled plasma (CCP) etching system and inductively coupled plasma (ICP) etching system.…”
Section: Introductionmentioning
confidence: 99%
“…As the semiconductor device size has decreased to nanoscale due to the high integration of the circuit, the critical dimension has decreased to a few nanometers and the device structure has changed from 2D to 3D. To fabricate these devices, etching technologies such as pulsed plasma etching technology and multiple patterning technology are widely investigated [1][2][3][4][5][6][7][8][9] and applied using two different plasma etching systems, namely the capacitively coupled plasma (CCP) etching system and inductively coupled plasma (ICP) etching system.…”
Section: Introductionmentioning
confidence: 99%
“…In particular, Rauf and Balakrishna conducted two-dimensional simulations in a fluorocarbon mixture capacitively coupled plasma (CCP) discharge and calculated the oxide etch rate on various operation conditions [7]. Moreover, Huang et al conducted both reactor and feature profile simulations in Ar/C 4 F 8 /O 2 CCP discharges [8]. Although many reactions are considered in simulations, several reaction rate coefficients are still assumed, and some electron collision reactions were neglected.…”
Section: Introductionmentioning
confidence: 99%
“…As the feature size of semiconductors continues to decrease, and the structure of semiconductors is altered from two to three dimensions, high-aspect-ratio contact (HARC) hole etching has emerged as one of the most important goals in the semiconductor manufacturing process. Achieving high-performance HARC hole etching requires high selectivity [1][2][3][4][5][6][7], anisotropic etching [8][9][10][11][12], and reduced charge damage [13][14][15][16]. As continuous wave (CW) plasma reacts with a consistent ion flux on a wafer surface, it is difficult to attain these aspects with CW plasma.…”
Section: Introductionmentioning
confidence: 99%