Proceedings of the 2003 ACM/SIGDA Eleventh International Symposium on Field Programmable Gate Arrays 2003
DOI: 10.1145/611817.611836
|View full text |Cite
|
Sign up to set email alerts
|

Placement-driven technology mapping for LUT-based FPGAs

Abstract: In this paper, we study the problem of placement-driven technology mapping for and EdgeMap consider interconnect delays during mapping, but do not take into consideration the effects of their mapping solution on the final placement. Our work focuses on the interaction between the mapping and placement stages. First, the interconnect delay information is estimated from the placement, and used during the labeling process. A placement-based mapping solution which considers both global cell congestion and local ce… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
12
0

Year Published

2004
2004
2022
2022

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 23 publications
(12 citation statements)
references
References 13 publications
0
12
0
Order By: Relevance
“…1(a), the optimal location of v 3 , which results in the minimum delay, is (3,3). It yields the delay of 14 (10, a v 3 (3, 3), i.e., delay of the subtree rooted at v 3 , + 2 2 , wire-delay from (3,3) to (5,3)). Note that, a v 3 (3, 3) = 10 is from the placement-delay table for v 3 , shown in Fig.…”
Section: B Choosing Locations From Placement-delay Tablesmentioning
confidence: 99%
See 2 more Smart Citations
“…1(a), the optimal location of v 3 , which results in the minimum delay, is (3,3). It yields the delay of 14 (10, a v 3 (3, 3), i.e., delay of the subtree rooted at v 3 , + 2 2 , wire-delay from (3,3) to (5,3)). Note that, a v 3 (3, 3) = 10 is from the placement-delay table for v 3 , shown in Fig.…”
Section: B Choosing Locations From Placement-delay Tablesmentioning
confidence: 99%
“…However, the assumption about the placement of the cells in a tree in a single row is not practical, since the cells are allowed to be placed in different rows in 2-D area. To overcome this limitation, the subsequent work employed iterative technology decomposition, mapping, and placement [5]- [7] to place the primitive gates in a given area, perform mapping with assumptions about the placement of a mapped cell, and then place the mapped netlist or derive the placement of the subject graph from the same for the next iteration.…”
Section: B Previous Workmentioning
confidence: 99%
See 1 more Smart Citation
“…A number of studies on FPGA timing optimization via physical synthesis have been published recently [12,16,17,18], concerning both sequential and combinational logic optimizations.…”
Section: Previous Work and Motivationmentioning
confidence: 99%
“…With the help of a modified packing routine that strategically leaves logic resources for future logic duplication, creation of new logic via duplication would not disrupt the placement. In [12], simultaneous technology mapping and placement is proposed. Given an initial k-LUT mapping and placement, the netlist is decomposed in-site using gate decomposition, then re-mapped for delay minimization, using layout based delay calculation as guide.…”
Section: Previous Work and Motivationmentioning
confidence: 99%