2022
DOI: 10.48550/arxiv.2202.09035
|View full text |Cite
Preprint
|
Sign up to set email alerts
|

PISA: A Binary-Weight Processing-In-Sensor Accelerator for Edge Image Processing

Abstract: This work proposes a Processing-In-Sensor Accelerator, namely PISA, as a flexible, energy-efficient, and highperformance solution for real-time and smart image processing in AI devices. PISA intrinsically implements a coarse-grained convolution operation in Binarized-Weight Neural Networks (BWNNs) leveraging a novel compute-pixel with non-volatile weight storage at the sensor side. This remarkably reduces the power consumption of data conversion and transmission to an off-chip processor. The design is complete… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
5
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
3
1
1

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(11 citation statements)
references
References 40 publications
(69 reference statements)
0
5
0
Order By: Relevance
“…Systematic integration of computing and sensor arrays has been widely studied to eliminate off-chip data transmission and reduce ADC bandwidth by combining CMOS image sensors and processors in one chip, known as PNS [8][9][10][11][12], or even integrating pixels and computation unit so-called PIS [13][14][15][16]. In [9], photocurrents are transformed into pulse-width modulation signals, and a dedicated analog processor is designed to execute feature extraction reducing ADC power consumption.…”
Section: Near/in-sensor Processing Backgroundmentioning
confidence: 99%
“…Systematic integration of computing and sensor arrays has been widely studied to eliminate off-chip data transmission and reduce ADC bandwidth by combining CMOS image sensors and processors in one chip, known as PNS [8][9][10][11][12], or even integrating pixels and computation unit so-called PIS [13][14][15][16]. In [9], photocurrents are transformed into pulse-width modulation signals, and a dedicated analog processor is designed to execute feature extraction reducing ADC power consumption.…”
Section: Near/in-sensor Processing Backgroundmentioning
confidence: 99%
“…To mitigate this problem, prior works have proposed massively parallel in-memory [6], [18], in-sensor [5], [19], and in-pixel computing [2], [3], [7], [12], [13], [20], that aims to desegregate sensing, memory, and computation. Some insensor computing works implement analog computing in peripheral circuits for mapping AI algorithms [5], [19] but rely on serial kernel access that incurs significant energy and throughput bottlenecks.…”
Section: Introduction and Related Workmentioning
confidence: 99%
“…Some insensor computing works implement analog computing in peripheral circuits for mapping AI algorithms [5], [19] but rely on serial kernel access that incurs significant energy and throughput bottlenecks. On the other hand, in-pixel computing approaches based on emerging technologies [2], [20] promise excellent energy and throughput improvements but are not compatible with the foundry-manufacturing of modern CMOS image sensor (CIS) platforms and hence are difficult to scale. Other solutions that are based on CMOS technology [3], [12], [13] have been limited to toy workloads, such as digit recognition, because they lack the support of multi-channel in-pixel convolutions.…”
Section: Introduction and Related Workmentioning
confidence: 99%
“…In contrast, in-pixel processing solutions, such as [11][12][13][14][15] , aim to embed processing capabilities within the individual CIS pixels. Initial efforts have focused on in-pixel analog convolution operation 14,15 but many 11,[14][15][16] require the use of emerging non-volatile memories or 2D materials. Unfortunately, these technologies are not yet mature and thus not amenable to the existing foundry-manufacturing of CIS.…”
Section: Introductionmentioning
confidence: 99%