2016
DOI: 10.1007/s11128-016-1377-x
|View full text |Cite
|
Sign up to set email alerts
|

Physical synthesis of quantum circuits using templates

Abstract: Similar to traditional CMOS circuits, quantum circuit design flow is divided into two main processes: logic synthesis and physical design. Addressing the limitations imposed on optimization of the quantum circuit metrics because of no information sharing between logic synthesis and physical design processes, the concept of "physical synthesis" was introduced for quantum circuit flow, and a few techniques were proposed for it. Following that concept, in this paper a new approach for physical synthesis inspired … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2019
2019
2021
2021

Publication Types

Select...
4
1

Relationship

2
3

Authors

Journals

citations
Cited by 5 publications
(3 citation statements)
references
References 53 publications
0
3
0
Order By: Relevance
“…Trusted and authentic node identification for scheduling and optimization is important to consider in the future. QC‐based physical level schedulers and optimizers : Physical synthesis, scheduling, and optimization processes are important to reduce the latency in quantum circuits, improve the performances, proper circuit allocation, and efficient sharing of the resources between processes. In this process, the important challenges that need to be addressed include 138–140 : (i) how to apply proper placement and routing heuristics in physical design layout, (ii) to design effective data flow‐based gates or circuit placement and routing. Various students in recent times have explored graph‐based data flow approaches to accomplish this task, (iii) to apply proper instruction‐eel scheduling in instruction issue logic to quantum gates and circuits, (iv) to apply iteration of optimization loops in the scheduling information and incremental updates in scheduling processes, and (v) among other challenges, identification of appropriate heuristic algorithm, error analysis approach, and performance analysis (e.g., time complexity analysis) are required to be studied in future. QC‐based error‐correction firmwares/software : An efficient quantum error‐correction firmware integrates the quantum algorithms and imperfect hardware efficiently.…”
Section: Quantum Software Tools Technologies and Practicesmentioning
confidence: 99%
“…Trusted and authentic node identification for scheduling and optimization is important to consider in the future. QC‐based physical level schedulers and optimizers : Physical synthesis, scheduling, and optimization processes are important to reduce the latency in quantum circuits, improve the performances, proper circuit allocation, and efficient sharing of the resources between processes. In this process, the important challenges that need to be addressed include 138–140 : (i) how to apply proper placement and routing heuristics in physical design layout, (ii) to design effective data flow‐based gates or circuit placement and routing. Various students in recent times have explored graph‐based data flow approaches to accomplish this task, (iii) to apply proper instruction‐eel scheduling in instruction issue logic to quantum gates and circuits, (iv) to apply iteration of optimization loops in the scheduling information and incremental updates in scheduling processes, and (v) among other challenges, identification of appropriate heuristic algorithm, error analysis approach, and performance analysis (e.g., time complexity analysis) are required to be studied in future. QC‐based error‐correction firmwares/software : An efficient quantum error‐correction firmware integrates the quantum algorithms and imperfect hardware efficiently.…”
Section: Quantum Software Tools Technologies and Practicesmentioning
confidence: 99%
“…Some researchers [6,7,[11][12][13][14][15][16] worked on the entire physical design flow and proposed techniques for each of its step while others [17][18][19][20] proposed some techniques for scheduling of a quantum circuit on a layout. Mohammadzadeh et al [21][22][23] introduced the physical synthesis concept for quantum circuits and proposed some practical physical synthesis techniques [21,[23][24][25]. Since the main focus of this paper is on the partitioning step, in the rest of this section, the partitioning techniques are reviewed in more detail.…”
Section: Related Workmentioning
confidence: 99%
“…The physical synthesis concept in quantum circuits was introduced in [6] for the first time and some physical synthesis techniques proposed for ion trap technology in the next papers [30][31][32][33].…”
Section: Related Workmentioning
confidence: 99%